CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
rtl Architecture Reference

Processes

parity_check  ( din , parity , mask )
data_gater  ( din , iperr , mask )

Signals

iperr  std_logic
idout  std_logic_vector ( width - 1 downto 0 )

Detailed Description

Definition at line 42 of file parity_chk.vhd.

Member Function Documentation

data_gater (   din ,
  iperr ,
  mask  
)
Process

Definition at line 70 of file parity_chk.vhd.

parity_check (   din ,
  parity ,
  mask  
)
Process

Definition at line 59 of file parity_chk.vhd.

Member Data Documentation

idout std_logic_vector ( width - 1 downto 0 )
Signal

Definition at line 53 of file parity_chk.vhd.

iperr std_logic
Signal

Definition at line 52 of file parity_chk.vhd.


The documentation for this class was generated from the following file: