CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
parity_gen.vhd File Reference

The parity_gen module generates the parity of incoming data. More...

Go to the source code of this file.

Entities

parity_gen  entity
 
rtl  architecture
 

Detailed Description

The parity_gen module generates the parity of incoming data.

NOTE: this logic is not obviously optimised for latency, but in practice it has a latency as low as anything that is.

Author
I. Brawn (RAL)
Date
01-04-2002

Definition in file parity_gen.vhd.