CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
add3x2.vhd File Reference

The add3x2 module adds two 3-bit numbers, return 3-bit result that saturates at 7. More...

Go to the source code of this file.

Entities

add3x2  entity
 
rtl_abx  architecture
 

Detailed Description

The add3x2 module adds two 3-bit numbers, return 3-bit result that saturates at 7.

Author
P. Plucinski, SU and I. Brawn, RAL.
Date
June 18 2013

Definition in file add3x2.vhd.