CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
add3x4.vhd File Reference

The add4x3 module adds 4 3-bit numbers, return 3-bit result that saturates at 7. More...

Go to the source code of this file.

Entities

add3x4  entity
 
rtl_abx  architecture
 

Detailed Description

The add4x3 module adds 4 3-bit numbers, return 3-bit result that saturates at 7.

Author
P. Plucinski, SU
Date
June 18 2013

Definition in file add3x4.vhd.