CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
and_all.vhd File Reference

Purely combinational circuit to compute and of all bits. More...

Go to the source code of this file.

Entities

and_all  entity
 
Behavioral  architecture
 

Detailed Description

Purely combinational circuit to compute and of all bits.

Author
W. Fedorko
Date
05/11/2012

count on ise to collapse the generate loop into a more efficient structure

Definition in file and_all.vhd.