CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Behavioral Architecture Reference

Processes

PROCESS_3  ( buf_clk40 , pll_locked )
PROCESS_4  ( buf_clk40 )
PROCESS_5  ( buf_clk40 , pll_locked )
PROCESS_6  ( buf_clk40 )
spy_system_addr_proc  ( buf_clk40 )
local_buf_master_inhibit_system  ( buf_clk40 , pll_locked )
PROCESS_7  ( buf_clk40 )
error_detect_process_system  ( buf_clk40 )
PROCESS_8  ( buf_clk40 )
PROCESS_9  ( buf_clk40 )
PROCESS_10  ( buf_clk40 , pll_locked )

Components

CMX_cable_clocked_80Mbps_output_module  <Entity CMX_cable_clocked_80Mbps_output_module>
blk_mem_A8x52_B8x52_1clock 
Stretch_10  <Entity Stretch_10>
CMX_generic_spy_mem_control_FSM  <Entity CMX_generic_spy_mem_control_FSM>
vme_local_switch  <Entity vme_local_switch>
vme_inreg_notri  <Entity vme_inreg_notri>
vme_inreg_notri_async  <Entity vme_inreg_notri_async>
vme_outreg_notri  <Entity vme_outreg_notri>
vme_outreg_notri_async  <Entity vme_outreg_notri_async>

Constants

mem_select_addr_width  integer := addr_port_width ( num_RTM_cables )

Signals

data_sdr  arr_RTM_sdr
data_sdr_r_SYSTEM  arr_RTM_sdr
counter_reset  std_logic
counter_reset_unstretched  std_logic
counter_reset_r_SYSTEM  std_logic_vector ( numactchan - 1 downto 0 )
counter_reset_rr_SYSTEM  std_logic_vector ( numactchan - 1 downto 0 )
data_from_vme_REG_RW_RTM_OUTPUT_COUNTER_RESET  std_logic_vector ( 15 downto 0 )
data_to_vme_REG_RW_RTM_OUTPUT_COUNTER_RESET  std_logic_vector ( 15 downto 0 )
all_null  std_logic_vector ( numbits_in_RTM_connector * 2 - 1 downto 0 )
mode_control_RTM_OUTPUT_SPY_SYSTEM  std_logic_vector ( 3 downto 0 )
ena_RTM_OUTPUT_SPY_SYSTEM  std_logic
wea_RTM_OUTPUT_SPY_SYSTEM  std_logic
addra_RTM_OUTPUT_SPY_SYSTEM  std_logic_vector ( 7 downto 0 )
mem_select_address_RTM_OUTPUT_SPY_SYSTEM  std_logic_vector ( addr_port_width ( num_RTM_cables ) - 1 downto 0 )
dina_RTM_OUTPUT_SPY_SYSTEM  std_logic_vector ( numbits_in_RTM_connector * 2 - 1 downto 0 )
douta_RTM_OUTPUT_SPY_SYSTEM  std_logic_vector ( numbits_in_RTM_connector * 2 - 1 downto 0 )
port_b_master_inhibit_RTM_OUTPUT_SPY_SYSTEM  std_logic
ena_rtm_output_spy_system_individual  std_logic_vector ( num_RTM_cables - 1 downto 0 )
wea_rtm_output_spy_system_individual  arr_1 ( num_RTM_cables - 1 downto 0 )
douta_rtm_output_spy_system_individual  arr_RTM_sdr
enb_rtm_output_spy_system_individual  std_logic_vector ( num_RTM_cables - 1 downto 0 )
web_rtm_output_spy_system_individual  arr_1 ( num_RTM_cables - 1 downto 0 )
addrb_rtm_output_spy_system_individual  arr_8 ( num_RTM_cables - 1 downto 0 )
dinb_rtm_output_spy_system_individual  arr_RTM_sdr
doutb_rtm_output_spy_system_individual  arr_RTM_sdr
addrb_RTM_OUTPUT_SPY_SYSTEM_counter  arr_ctr_8bit ( num_RTM_cables - 1 downto 0 )
port_b_master_inhibit_rtm_output_spy_system_r_system  std_logic_vector ( num_RTM_cables - 1 downto 0 )
web_rtm_output_spy_system_r_system  std_logic_vector ( num_RTM_cables - 1 downto 0 )
enb_rtm_output_spy_system_r_system  std_logic_vector ( num_RTM_cables - 1 downto 0 )
port_b_master_inhibit_rtm_output_spy_system_split  std_logic_vector ( num_RTM_cables - 1 downto 0 )
enb_rtm_output_spy_system_split  std_logic_vector ( num_RTM_cables - 1 downto 0 )
web_rtm_output_spy_system_split  std_logic_vector ( num_RTM_cables - 1 downto 0 )
enb_rtm_output_spy_system  std_logic
web_rtm_output_spy_system  std_logic
spy_write_inhibit_r_system  std_logic_vector ( num_RTM_cables - 1 downto 0 )
spy_write_inhibit_rr_system  std_logic_vector ( num_RTM_cables - 1 downto 0 )
start_playback_r_system  std_logic_vector ( num_RTM_cables - 1 downto 0 )
start_playback_rr_system  std_logic_vector ( num_RTM_cables - 1 downto 0 )
data_vme_from_below  arr_16 ( 4 + 9 * num_RTM_cables + 2 downto 0 )
bus_drive_from_below  std_logic_vector ( 4 + 9 * num_RTM_cables + 2 downto 0 )
data_to_vme_REG_RW_RTM_OUTPUT_SPY_SYSTEM_MEM_START_ADDRESS  std_logic_vector ( 15 downto 0 )
data_from_vme_REG_RW_RTM_OUTPUT_SPY_SYSTEM_MEM_START_ADDRESS  std_logic_vector ( 15 downto 0 )
data_to_vme_REG_RO_RTM_OUTPUT_SPY_SYSTEM_MEM_CHECK_ERROR_0  arr_16 ( num_RTM_cables - 1 downto 0 )
data_to_vme_REG_RO_RTM_OUTPUT_SPY_SYSTEM_MEM_CHECK_ERROR_1  arr_16 ( num_RTM_cables - 1 downto 0 )
bit_error_counter_system_next  arr_ctr_32bit ( num_RTM_cables - 1 downto 0 )
bit_error_counter_system  arr_ctr_32bit ( num_RTM_cables - 1 downto 0 )
bit_error_latch_system  arr_26 ( num_RTM_cables - 1 downto 0 )
bit_error_detect_system  arr_52 ( num_RTM_cables - 1 downto 0 )
sdr_data_after_mux  arr_RTM_sdr

Instantiations

vme_local_switch_inst  vme_local_switch <Entity vme_local_switch>
vme_inreg_reg_rw_rtm_output_counter_reset  vme_inreg_notri <Entity vme_inreg_notri>
stretch_10_counter_reset  Stretch_10 <Entity Stretch_10>
cmx_generic_spy_mem_control_fsm_inst_system  CMX_generic_spy_mem_control_FSM <Entity CMX_generic_spy_mem_control_FSM>
cmx_cable_clocked_80mbps_output_module_inst  CMX_cable_clocked_80Mbps_output_module <Entity CMX_cable_clocked_80Mbps_output_module>
blk_mem_a8x52_b8x52_1clock_system  blk_mem_a8x52_b8x52_1clock
vme_outreg_reg_ro_rtm_output_spy_system_mem_check_error_0  vme_outreg_notri_async <Entity vme_outreg_notri_async>
vme_outreg_reg_ro_rtm_output_spy_system_mem_check_error_1  vme_outreg_notri_async <Entity vme_outreg_notri_async>
vme_inreg_reg_rw_rtm_output_spy_system_mem_start_address  vme_inreg_notri_async <Entity vme_inreg_notri_async>

Detailed Description

Definition at line 50 of file CMX_crate_cable_output_module.vhd.

Member Function Documentation

error_detect_process_system (   buf_clk40  
)
Process

Definition at line 508 of file CMX_crate_cable_output_module.vhd.

local_buf_master_inhibit_system (   buf_clk40 ,
  pll_locked  
)
Process

Definition at line 469 of file CMX_crate_cable_output_module.vhd.

PROCESS_10 (   buf_clk40 ,
  pll_locked  
)
Process

Definition at line 552 of file CMX_crate_cable_output_module.vhd.

PROCESS_3 (   buf_clk40 ,
  pll_locked 
)

Definition at line 342 of file CMX_crate_cable_output_module.vhd.

PROCESS_4 (   buf_clk40  
)
Process

Definition at line 350 of file CMX_crate_cable_output_module.vhd.

PROCESS_5 (   buf_clk40 ,
  pll_locked 
)

Definition at line 437 of file CMX_crate_cable_output_module.vhd.

PROCESS_6 (   buf_clk40  
)
Process

Definition at line 445 of file CMX_crate_cable_output_module.vhd.

PROCESS_7 (   buf_clk40  
)
Process

Definition at line 501 of file CMX_crate_cable_output_module.vhd.

PROCESS_8 (   buf_clk40  
)
Process

Definition at line 528 of file CMX_crate_cable_output_module.vhd.

PROCESS_9 (   buf_clk40  
)
Process

Definition at line 546 of file CMX_crate_cable_output_module.vhd.

spy_system_addr_proc (   buf_clk40  
)
Process

Definition at line 454 of file CMX_crate_cable_output_module.vhd.

Member Data Documentation

addra_RTM_OUTPUT_SPY_SYSTEM std_logic_vector ( 7 downto 0 )
Signal

Definition at line 141 of file CMX_crate_cable_output_module.vhd.

addrb_RTM_OUTPUT_SPY_SYSTEM_counter arr_ctr_8bit ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 156 of file CMX_crate_cable_output_module.vhd.

addrb_rtm_output_spy_system_individual arr_8 ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 152 of file CMX_crate_cable_output_module.vhd.

all_null std_logic_vector ( numbits_in_RTM_connector * 2 - 1 downto 0 )
Signal

Definition at line 108 of file CMX_crate_cable_output_module.vhd.

bit_error_counter_system arr_ctr_32bit ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 262 of file CMX_crate_cable_output_module.vhd.

bit_error_counter_system_next arr_ctr_32bit ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 261 of file CMX_crate_cable_output_module.vhd.

bit_error_detect_system arr_52 ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 264 of file CMX_crate_cable_output_module.vhd.

bit_error_latch_system arr_26 ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 263 of file CMX_crate_cable_output_module.vhd.

Definition at line 75 of file CMX_crate_cable_output_module.vhd.

blk_mem_a8x52_b8x52_1clock_system blk_mem_a8x52_b8x52_1clock
Instantiation

Definition at line 389 of file CMX_crate_cable_output_module.vhd.

bus_drive_from_below std_logic_vector ( 4 + 9 * num_RTM_cables + 2 downto 0 )
Signal

Definition at line 183 of file CMX_crate_cable_output_module.vhd.

cmx_cable_clocked_80mbps_output_module_inst CMX_cable_clocked_80Mbps_output_module
Instantiation

Definition at line 360 of file CMX_crate_cable_output_module.vhd.

cmx_generic_spy_mem_control_fsm_inst_system CMX_generic_spy_mem_control_FSM
Instantiation

Definition at line 310 of file CMX_crate_cable_output_module.vhd.

counter_reset std_logic
Signal

Definition at line 92 of file CMX_crate_cable_output_module.vhd.

counter_reset_r_SYSTEM std_logic_vector ( numactchan - 1 downto 0 )
Signal

Definition at line 100 of file CMX_crate_cable_output_module.vhd.

counter_reset_rr_SYSTEM std_logic_vector ( numactchan - 1 downto 0 )
Signal

Definition at line 100 of file CMX_crate_cable_output_module.vhd.

counter_reset_unstretched std_logic
Signal

Definition at line 92 of file CMX_crate_cable_output_module.vhd.

data_from_vme_REG_RW_RTM_OUTPUT_COUNTER_RESET std_logic_vector ( 15 downto 0 )
Signal

Definition at line 102 of file CMX_crate_cable_output_module.vhd.

data_from_vme_REG_RW_RTM_OUTPUT_SPY_SYSTEM_MEM_START_ADDRESS std_logic_vector ( 15 downto 0 )
Signal

Definition at line 254 of file CMX_crate_cable_output_module.vhd.

data_sdr arr_RTM_sdr
Signal

Definition at line 68 of file CMX_crate_cable_output_module.vhd.

data_sdr_r_SYSTEM arr_RTM_sdr
Signal

Definition at line 69 of file CMX_crate_cable_output_module.vhd.

data_to_vme_REG_RO_RTM_OUTPUT_SPY_SYSTEM_MEM_CHECK_ERROR_0 arr_16 ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 258 of file CMX_crate_cable_output_module.vhd.

data_to_vme_REG_RO_RTM_OUTPUT_SPY_SYSTEM_MEM_CHECK_ERROR_1 arr_16 ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 259 of file CMX_crate_cable_output_module.vhd.

data_to_vme_REG_RW_RTM_OUTPUT_COUNTER_RESET std_logic_vector ( 15 downto 0 )
Signal

Definition at line 105 of file CMX_crate_cable_output_module.vhd.

data_to_vme_REG_RW_RTM_OUTPUT_SPY_SYSTEM_MEM_START_ADDRESS std_logic_vector ( 15 downto 0 )
Signal

Definition at line 253 of file CMX_crate_cable_output_module.vhd.

data_vme_from_below arr_16 ( 4 + 9 * num_RTM_cables + 2 downto 0 )
Signal

Definition at line 182 of file CMX_crate_cable_output_module.vhd.

dina_RTM_OUTPUT_SPY_SYSTEM std_logic_vector ( numbits_in_RTM_connector * 2 - 1 downto 0 )
Signal

Definition at line 143 of file CMX_crate_cable_output_module.vhd.

Definition at line 153 of file CMX_crate_cable_output_module.vhd.

douta_RTM_OUTPUT_SPY_SYSTEM std_logic_vector ( numbits_in_RTM_connector * 2 - 1 downto 0 )
Signal

Definition at line 144 of file CMX_crate_cable_output_module.vhd.

Definition at line 149 of file CMX_crate_cable_output_module.vhd.

Definition at line 154 of file CMX_crate_cable_output_module.vhd.

ena_RTM_OUTPUT_SPY_SYSTEM std_logic
Signal

Definition at line 139 of file CMX_crate_cable_output_module.vhd.

ena_rtm_output_spy_system_individual std_logic_vector ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 147 of file CMX_crate_cable_output_module.vhd.

enb_rtm_output_spy_system std_logic
Signal

Definition at line 164 of file CMX_crate_cable_output_module.vhd.

enb_rtm_output_spy_system_individual std_logic_vector ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 150 of file CMX_crate_cable_output_module.vhd.

enb_rtm_output_spy_system_r_system std_logic_vector ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 160 of file CMX_crate_cable_output_module.vhd.

enb_rtm_output_spy_system_split std_logic_vector ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 162 of file CMX_crate_cable_output_module.vhd.

mem_select_addr_width integer := addr_port_width ( num_RTM_cables )
Constant

Definition at line 52 of file CMX_crate_cable_output_module.vhd.

mem_select_address_RTM_OUTPUT_SPY_SYSTEM std_logic_vector ( addr_port_width ( num_RTM_cables ) - 1 downto 0 )
Signal

Definition at line 142 of file CMX_crate_cable_output_module.vhd.

mode_control_RTM_OUTPUT_SPY_SYSTEM std_logic_vector ( 3 downto 0 )
Signal

Definition at line 138 of file CMX_crate_cable_output_module.vhd.

port_b_master_inhibit_rtm_output_spy_system_r_system std_logic_vector ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 158 of file CMX_crate_cable_output_module.vhd.

port_b_master_inhibit_rtm_output_spy_system_split std_logic_vector ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 161 of file CMX_crate_cable_output_module.vhd.

sdr_data_after_mux arr_RTM_sdr
Signal

Definition at line 266 of file CMX_crate_cable_output_module.vhd.

spy_write_inhibit_r_system std_logic_vector ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 167 of file CMX_crate_cable_output_module.vhd.

spy_write_inhibit_rr_system std_logic_vector ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 168 of file CMX_crate_cable_output_module.vhd.

start_playback_r_system std_logic_vector ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 170 of file CMX_crate_cable_output_module.vhd.

start_playback_rr_system std_logic_vector ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 171 of file CMX_crate_cable_output_module.vhd.

Stretch_10
Component

Definition at line 93 of file CMX_crate_cable_output_module.vhd.

stretch_10_counter_reset Stretch_10
Instantiation

Definition at line 302 of file CMX_crate_cable_output_module.vhd.

vme_inreg_notri
Component

Definition at line 186 of file CMX_crate_cable_output_module.vhd.

Definition at line 205 of file CMX_crate_cable_output_module.vhd.

vme_inreg_reg_rw_rtm_output_counter_reset vme_inreg_notri
Instantiation

Definition at line 282 of file CMX_crate_cable_output_module.vhd.

vme_inreg_reg_rw_rtm_output_spy_system_mem_start_address vme_inreg_notri_async
Instantiation

Definition at line 615 of file CMX_crate_cable_output_module.vhd.

vme_local_switch
Component

Definition at line 174 of file CMX_crate_cable_output_module.vhd.

vme_local_switch_inst vme_local_switch
Instantiation

Definition at line 273 of file CMX_crate_cable_output_module.vhd.

vme_outreg_notri
Component

Definition at line 221 of file CMX_crate_cable_output_module.vhd.

Definition at line 238 of file CMX_crate_cable_output_module.vhd.

vme_outreg_reg_ro_rtm_output_spy_system_mem_check_error_0 vme_outreg_notri_async
Instantiation

Definition at line 567 of file CMX_crate_cable_output_module.vhd.

vme_outreg_reg_ro_rtm_output_spy_system_mem_check_error_1 vme_outreg_notri_async
Instantiation

Definition at line 580 of file CMX_crate_cable_output_module.vhd.

wea_RTM_OUTPUT_SPY_SYSTEM std_logic
Signal

Definition at line 140 of file CMX_crate_cable_output_module.vhd.

wea_rtm_output_spy_system_individual arr_1 ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 148 of file CMX_crate_cable_output_module.vhd.

web_rtm_output_spy_system std_logic
Signal

Definition at line 165 of file CMX_crate_cable_output_module.vhd.

web_rtm_output_spy_system_individual arr_1 ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 151 of file CMX_crate_cable_output_module.vhd.

web_rtm_output_spy_system_r_system std_logic_vector ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 159 of file CMX_crate_cable_output_module.vhd.

web_rtm_output_spy_system_split std_logic_vector ( num_RTM_cables - 1 downto 0 )
Signal

Definition at line 163 of file CMX_crate_cable_output_module.vhd.


The documentation for this class was generated from the following file: