CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
PARITY_CALC.vhd File Reference

Purely combinational circuit to compute parity of numbitsinchan - 1 bits. More...

Go to the source code of this file.

Entities

PARITY_CALC  entity
 
Behavioral  architecture
 

Detailed Description

Purely combinational circuit to compute parity of numbitsinchan - 1 bits.

Author
Date
11/07/2012 count on ise to collapse the generate loop into a more efficient structure

Definition in file PARITY_CALC.vhd.