CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Behavioral Architecture Reference

Processes

PROCESS_112  ( clk )
PROCESS_113  ( clk )
PROCESS_114  ( clk )
PROCESS_115  ( clk )
PROCESS_116  ( clk )
PROCESS_168  ( clk )
PROCESS_169  ( clk )
PROCESS_170  ( clk )
PROCESS_171  ( clk )
PROCESS_172  ( clk )
PROCESS_178  ( clk )
PROCESS_179  ( clk )
PROCESS_180  ( clk )

Components

parity_gen  <Entity parity_gen>

Signals

datai_r  arr_4Xword ( max_jems - 1 downto 0 )
din_cbl_r  T_SLV65
din_cbl_ro_r  T_SL
din_lcl_r  T_SLV60
din_lcl_ro_r  T_SL
dout_r  T_SLV62
dout_ro_r  T_SL
din_cbl_rr  T_SLV65
din_cbl_ro_rr  T_SL
din_lcl_rr  T_SLV60
din_lcl_ro_rr  T_SL
dout_rr  T_SLV62
dout_ro_rr  T_SL
jem_occupied_map  std_logic_vector ( 15 downto 0 )
jem_mult  std_logic_vector ( 47 downto 0 )
datai_corr  arr_4Xword ( max_jems - 1 downto 0 )
calc_par  calc_parity_type ( max_jems - 1 downto 0 )
par_err  calc_parity_type ( max_jems - 1 downto 0 )
par_err_0  T_SL
par_err_1  T_SL
par_err_2  T_SL
par_err_3  T_SL
par_calc_0  T_SL
par_calc_1  T_SL
par_calc_2  T_SL
par_calc_3  T_SL
BCID_r  std_logic_vector ( 11 downto 0 )
datai_r  arr_4Xword ( max_cps - 1 downto 0 )
din_cbl_r  T_SLV150
din_cbla_ro_r  T_SL
din_cblb_ro_r  T_SL
din_cblc_ro_r  T_SL
din_lcl_r  T_SLV48
din_cbl_rr  T_SLV150
din_cbla_ro_rr  T_SL
din_cblb_ro_rr  T_SL
din_cblc_ro_rr  T_SL
din_lcl_rr  T_SLV48
cpm_occupied_map  T_SLV14
cpm_mult  T_SLV42
datai_corr  arr_4Xword ( max_cps - 1 downto 0 )
calc_par  calc_parity_type ( max_cps - 1 downto 0 )
par_err  calc_parity_type ( max_cps - 1 downto 0 )
par_err_cbla_mux0  T_SL
par_err_cbla_mux1  T_SL
par_err_cblb_mux0  T_SL
par_err_cblb_mux1  T_SL
par_err_cblc_mux0  T_SL
par_err_cblc_mux1  T_SL
par_calc_cbla_mux0  T_SL
par_calc_cbla_mux1  T_SL
par_calc_cblb_mux0  T_SL
par_calc_cblb_mux1  T_SL
par_calc_cblc_mux0  T_SL
par_calc_cblc_mux1  T_SL
ntobs_low  ntobsA_type ( max_cps - 1 downto 0 )
ntobs_high  ntobsA_type ( max_cps - 1 downto 0 )
ntobs_tot  ntobstot_type ( max_cps - 1 downto 0 )
energy_remote_r  std_logic_vector ( 26 * 4 - 1 downto 0 )
energy_remote_rr  std_logic_vector ( 26 * 4 - 1 downto 0 )
energy_local_r  std_logic_vector ( 26 * 4 - 1 downto 0 )
energy_local_rr  std_logic_vector ( 26 * 4 - 1 downto 0 )
energy_total_r  arr_ctr_15bit ( 5 downto 0 )
energy_total_rr  arr_ctr_15bit ( 5 downto 0 )
energy_ovflw_r  std_logic_vector ( 5 downto 0 )
energy_ovflw_rr  std_logic_vector ( 5 downto 0 )
energy_extra0_r  std_logic_vector ( 23 downto 0 )
energy_extra1_r  std_logic_vector ( 23 downto 0 )
energy_extra0_rr  std_logic_vector ( 23 downto 0 )
energy_extra1_rr  std_logic_vector ( 23 downto 0 )
par_calc_cbla_mux0  std_logic
par_calc_cbla_mux1  std_logic
par_calc_cblb_mux0  std_logic
par_calc_cblb_mux1  std_logic
par_err_cbla_mux0  std_logic
par_err_cbla_mux1  std_logic
par_err_cblb_mux0  std_logic
par_err_cblb_mux1  std_logic

Attributes

keep  string
keep  datai_r , din_cbl_r , din_cbl_ro_r , din_lcl_r , din_lcl_ro_r , dout_r , dout_ro_r : signal is " TRUE "
keep  datai_r , din_cbl_r , din_cbla_ro_r , din_cblb_ro_r , din_cblc_ro_r , din_lcl_r , din_lcl_ro_r , dout_r , dout_ro_r : signal is " TRUE "
keep  datai_r , energy_remote_r , energy_local_r , energy_total_r , energy_ovflw_r , energy_extra0_r , energy_extra1_r : signal is " TRUE "

Instantiations

calc_par_i  parity_gen <Entity parity_gen>
calc_par_cbl_0  parity_gen <Entity parity_gen>
calc_par_cbl_1  parity_gen <Entity parity_gen>
calc_par_cbl_2  parity_gen <Entity parity_gen>
calc_par_cbl_3  parity_gen <Entity parity_gen>
calc_par_i  parity_gen <Entity parity_gen>
calc_par_cbla_mux0  parity_gen <Entity parity_gen>
calc_par_cbla_mux1  parity_gen <Entity parity_gen>
calc_par_cblb_mux0  parity_gen <Entity parity_gen>
calc_par_cblb_mux1  parity_gen <Entity parity_gen>
calc_par_cblc_mux0  parity_gen <Entity parity_gen>
calc_par_cblc_mux1  parity_gen <Entity parity_gen>
calc_par_i  parity_gen <Entity parity_gen>
calc_par_cbla_mux0  parity_gen <Entity parity_gen>
calc_par_cbla_mux1  parity_gen <Entity parity_gen>
calc_par_cblb_mux0  parity_gen <Entity parity_gen>
calc_par_cblb_mux1  parity_gen <Entity parity_gen>

Detailed Description

Definition at line 38 of file daq_collector.vhd.

Member Function Documentation

PROCESS_112 (   clk  
)
Process

Definition at line 100 of file daq_collector.vhd.

PROCESS_113 (   clk )

Definition at line 141 of file daq_collector.vhd.

PROCESS_114 (   clk )

Definition at line 192 of file daq_collector.vhd.

PROCESS_115 (   clk  
)
Process

Definition at line 262 of file daq_collector.vhd.

PROCESS_116 (   clk  
)
Process

Definition at line 277 of file daq_collector.vhd.

PROCESS_168 (   clk  
)
Process

Definition at line 117 of file daq_collector.vhd.

PROCESS_169 (   clk )

Definition at line 163 of file daq_collector.vhd.

PROCESS_170 (   clk )

Definition at line 234 of file daq_collector.vhd.

PROCESS_171 (   clk  
)
Process

Definition at line 319 of file daq_collector.vhd.

PROCESS_172 (   clk  
)
Process

Definition at line 341 of file daq_collector.vhd.

PROCESS_178 (   clk  
)
Process

Definition at line 89 of file daq_collector.vhd.

PROCESS_179 (   clk )

Definition at line 131 of file daq_collector.vhd.

PROCESS_180 (   clk )

Definition at line 189 of file daq_collector.vhd.

Member Data Documentation

BCID_r std_logic_vector ( 11 downto 0 )
Signal

Definition at line 89 of file daq_collector.vhd.

calc_par calc_parity_type ( max_jems - 1 downto 0 )
Signal

Definition at line 76 of file daq_collector.vhd.

calc_par calc_parity_type ( max_cps - 1 downto 0 )
Signal

Definition at line 82 of file daq_collector.vhd.

calc_par_cbl_0 parity_gen
Instantiation

Definition at line 152 of file daq_collector.vhd.

calc_par_cbl_1 parity_gen
Instantiation

Definition at line 164 of file daq_collector.vhd.

calc_par_cbl_2 parity_gen
Instantiation

Definition at line 173 of file daq_collector.vhd.

calc_par_cbl_3 parity_gen
Instantiation

Definition at line 182 of file daq_collector.vhd.

calc_par_cbla_mux0 parity_gen
Instantiation

Definition at line 143 of file daq_collector.vhd.

calc_par_cbla_mux0 parity_gen
Instantiation

Definition at line 175 of file daq_collector.vhd.

calc_par_cbla_mux1 parity_gen
Instantiation

Definition at line 154 of file daq_collector.vhd.

calc_par_cbla_mux1 parity_gen
Instantiation

Definition at line 185 of file daq_collector.vhd.

calc_par_cblb_mux0 parity_gen
Instantiation

Definition at line 164 of file daq_collector.vhd.

calc_par_cblb_mux0 parity_gen
Instantiation

Definition at line 194 of file daq_collector.vhd.

calc_par_cblb_mux1 parity_gen
Instantiation

Definition at line 178 of file daq_collector.vhd.

calc_par_cblb_mux1 parity_gen
Instantiation

Definition at line 205 of file daq_collector.vhd.

calc_par_cblc_mux0 parity_gen
Instantiation

Definition at line 214 of file daq_collector.vhd.

calc_par_cblc_mux1 parity_gen
Instantiation

Definition at line 224 of file daq_collector.vhd.

calc_par_i parity_gen
Instantiation

Definition at line 119 of file daq_collector.vhd.

calc_par_i parity_gen
Instantiation

Definition at line 129 of file daq_collector.vhd.

calc_par_i parity_gen
Instantiation

Definition at line 151 of file daq_collector.vhd.

cpm_mult T_SLV42
Signal

Definition at line 79 of file daq_collector.vhd.

cpm_occupied_map T_SLV14
Signal

Definition at line 78 of file daq_collector.vhd.

datai_corr arr_4Xword ( max_jems - 1 downto 0 )
Signal

Definition at line 74 of file daq_collector.vhd.

datai_corr arr_4Xword ( max_cps - 1 downto 0 )
Signal

Definition at line 80 of file daq_collector.vhd.

datai_r arr_4Xword ( max_jems - 1 downto 0 )
Signal

Definition at line 57 of file daq_collector.vhd.

datai_r arr_4Xword ( max_cps - 1 downto 0 )
Signal

Definition at line 59 of file daq_collector.vhd.

din_cbl_r T_SLV65
Signal

Definition at line 58 of file daq_collector.vhd.

din_cbl_r T_SLV150
Signal

Definition at line 60 of file daq_collector.vhd.

din_cbl_ro_r T_SL
Signal

Definition at line 59 of file daq_collector.vhd.

din_cbl_ro_rr T_SL
Signal

Definition at line 66 of file daq_collector.vhd.

din_cbl_rr T_SLV65
Signal

Definition at line 65 of file daq_collector.vhd.

din_cbl_rr T_SLV150
Signal

Definition at line 69 of file daq_collector.vhd.

din_cbla_ro_r T_SL
Signal

Definition at line 61 of file daq_collector.vhd.

din_cbla_ro_rr T_SL
Signal

Definition at line 70 of file daq_collector.vhd.

din_cblb_ro_r T_SL
Signal

Definition at line 62 of file daq_collector.vhd.

din_cblb_ro_rr T_SL
Signal

Definition at line 71 of file daq_collector.vhd.

din_cblc_ro_r T_SL
Signal

Definition at line 63 of file daq_collector.vhd.

din_cblc_ro_rr T_SL
Signal

Definition at line 72 of file daq_collector.vhd.

din_lcl_r T_SLV60
Signal

Definition at line 60 of file daq_collector.vhd.

din_lcl_r T_SLV48
Signal

Definition at line 64 of file daq_collector.vhd.

din_lcl_ro_r T_SL
Signal

Definition at line 61 of file daq_collector.vhd.

din_lcl_ro_rr T_SL
Signal

Definition at line 68 of file daq_collector.vhd.

din_lcl_rr T_SLV60
Signal

Definition at line 67 of file daq_collector.vhd.

din_lcl_rr T_SLV48
Signal

Definition at line 73 of file daq_collector.vhd.

dout_r T_SLV62
Signal

Definition at line 62 of file daq_collector.vhd.

dout_ro_r T_SL
Signal

Definition at line 63 of file daq_collector.vhd.

dout_ro_rr T_SL
Signal

Definition at line 70 of file daq_collector.vhd.

dout_rr T_SLV62
Signal

Definition at line 69 of file daq_collector.vhd.

energy_extra0_r std_logic_vector ( 23 downto 0 )
Signal

Definition at line 60 of file daq_collector.vhd.

energy_extra0_rr std_logic_vector ( 23 downto 0 )
Signal

Definition at line 62 of file daq_collector.vhd.

energy_extra1_r std_logic_vector ( 23 downto 0 )
Signal

Definition at line 61 of file daq_collector.vhd.

energy_extra1_rr std_logic_vector ( 23 downto 0 )
Signal

Definition at line 63 of file daq_collector.vhd.

energy_local_r std_logic_vector ( 26 * 4 - 1 downto 0 )
Signal

Definition at line 54 of file daq_collector.vhd.

energy_local_rr std_logic_vector ( 26 * 4 - 1 downto 0 )
Signal

Definition at line 55 of file daq_collector.vhd.

energy_ovflw_r std_logic_vector ( 5 downto 0 )
Signal

Definition at line 58 of file daq_collector.vhd.

energy_ovflw_rr std_logic_vector ( 5 downto 0 )
Signal

Definition at line 59 of file daq_collector.vhd.

energy_remote_r std_logic_vector ( 26 * 4 - 1 downto 0 )
Signal

Definition at line 52 of file daq_collector.vhd.

energy_remote_rr std_logic_vector ( 26 * 4 - 1 downto 0 )
Signal

Definition at line 53 of file daq_collector.vhd.

energy_total_r arr_ctr_15bit ( 5 downto 0 )
Signal

Definition at line 56 of file daq_collector.vhd.

energy_total_rr arr_ctr_15bit ( 5 downto 0 )
Signal

Definition at line 57 of file daq_collector.vhd.

jem_mult std_logic_vector ( 47 downto 0 )
Signal

Definition at line 73 of file daq_collector.vhd.

jem_occupied_map std_logic_vector ( 15 downto 0 )
Signal

Definition at line 72 of file daq_collector.vhd.

keep string
Attribute

Definition at line 54 of file daq_collector.vhd.

Definition at line 82 of file daq_collector.vhd.

keep datai_r , din_cbl_r , din_cbl_ro_r , din_lcl_r , din_lcl_ro_r , dout_r , dout_ro_r : signal is " TRUE "
Attribute

Definition at line 92 of file daq_collector.vhd.

Definition at line 106 of file daq_collector.vhd.

ntobs_high ntobsA_type ( max_cps - 1 downto 0 )
Signal

Definition at line 100 of file daq_collector.vhd.

ntobs_low ntobsA_type ( max_cps - 1 downto 0 )
Signal

Definition at line 99 of file daq_collector.vhd.

ntobs_tot ntobstot_type ( max_cps - 1 downto 0 )
Signal

Definition at line 101 of file daq_collector.vhd.

par_calc_0 T_SL
Signal

Definition at line 84 of file daq_collector.vhd.

par_calc_1 T_SL
Signal

Definition at line 85 of file daq_collector.vhd.

par_calc_2 T_SL
Signal

Definition at line 86 of file daq_collector.vhd.

par_calc_3 T_SL
Signal

Definition at line 87 of file daq_collector.vhd.

par_calc_cbla_mux0 std_logic
Signal

Definition at line 69 of file daq_collector.vhd.

par_calc_cbla_mux0 T_SL
Signal

Definition at line 92 of file daq_collector.vhd.

par_calc_cbla_mux1 std_logic
Signal

Definition at line 70 of file daq_collector.vhd.

par_calc_cbla_mux1 T_SL
Signal

Definition at line 93 of file daq_collector.vhd.

par_calc_cblb_mux0 std_logic
Signal

Definition at line 71 of file daq_collector.vhd.

par_calc_cblb_mux0 T_SL
Signal

Definition at line 94 of file daq_collector.vhd.

par_calc_cblb_mux1 std_logic
Signal

Definition at line 72 of file daq_collector.vhd.

par_calc_cblb_mux1 T_SL
Signal

Definition at line 95 of file daq_collector.vhd.

par_calc_cblc_mux0 T_SL
Signal

Definition at line 96 of file daq_collector.vhd.

par_calc_cblc_mux1 T_SL
Signal

Definition at line 97 of file daq_collector.vhd.

par_err calc_parity_type ( max_jems - 1 downto 0 )
Signal

Definition at line 77 of file daq_collector.vhd.

par_err calc_parity_type ( max_cps - 1 downto 0 )
Signal

Definition at line 83 of file daq_collector.vhd.

par_err_0 T_SL
Signal

Definition at line 79 of file daq_collector.vhd.

par_err_1 T_SL
Signal

Definition at line 80 of file daq_collector.vhd.

par_err_2 T_SL
Signal

Definition at line 81 of file daq_collector.vhd.

par_err_3 T_SL
Signal

Definition at line 82 of file daq_collector.vhd.

par_err_cbla_mux0 std_logic
Signal

Definition at line 74 of file daq_collector.vhd.

par_err_cbla_mux0 T_SL
Signal

Definition at line 85 of file daq_collector.vhd.

par_err_cbla_mux1 std_logic
Signal

Definition at line 75 of file daq_collector.vhd.

par_err_cbla_mux1 T_SL
Signal

Definition at line 86 of file daq_collector.vhd.

par_err_cblb_mux0 std_logic
Signal

Definition at line 76 of file daq_collector.vhd.

par_err_cblb_mux0 T_SL
Signal

Definition at line 87 of file daq_collector.vhd.

par_err_cblb_mux1 std_logic
Signal

Definition at line 77 of file daq_collector.vhd.

par_err_cblb_mux1 T_SL
Signal

Definition at line 88 of file daq_collector.vhd.

par_err_cblc_mux0 T_SL
Signal

Definition at line 89 of file daq_collector.vhd.

par_err_cblc_mux1 T_SL
Signal

Definition at line 90 of file daq_collector.vhd.

parity_gen
Component

Definition at line 40 of file daq_collector.vhd.


The documentation for this class was generated from the following file: