12 use IEEE.STD_LOGIC_1164.
ALL;
14 use IEEE.STD_LOGIC_UNSIGNED.
ALL;
15 use IEEE.STD_LOGIC_ARITH.CONV_STD_LOGIC_VECTOR;
25 datai : in arr_4Xword(max_jems-1 downto 0);
51 -- signal declarations
57 signal datai_r : arr_4Xword(max_jems-1 downto 0);
76 signal calc_par : calc_parity_type(max_jems-1 downto 0);
77 signal par_err : calc_parity_type(max_jems-1 downto 0);
98 --first register all data locally, and everything except data_i doublr reg
99 --data_i_r will have parity error added synchroneously below
102 if rising_edge(clk) then
126 par_err_i: for i in 0 to max_jems-1 generate
127 par_err_j: for j in 0 to 3 generate
143 if rising_edge(clk) then
152 calc_par_cbl_0 : parity_gen -- parity calc. (cbl)
162 -- overflow bit added by Pawel Plucinski 2015-08-21
169 din => din_cbl_ro_r & din_cbl_r
(29 downto 15),
194 if rising_edge(clk) then
204 gen_daq_data: for i_source in 0 to max_jems-1 generate
206 end generate gen_daq_data;
209 -- multiplicity remote
223 -- multiplicity local
238 -- multiplicity global
259 data_in_daq(19)(95 downto 93) <= (others=>'0');
-- zero
261 gen_jem_occupied_map: for i_jem in 0 to max_jems-1 generate
264 if rising_edge(clk) then
265 if datai_r(i_jem)(7 downto 0) > 0 then
275 gen_jem_ntobs: for i_jem in 0 to max_jems-1 generate
279 if rising_edge(clk) then
280 jem_mult((3*i_jem)+2 downto 3*i_jem) <= ofwntobs(conv_integer(datai_r(i_jem)(7 downto 0)))(2 downto 0);
parity_gen calc_par_cbl_2calc_par_cbl_2
out data_in_daqarr_96 (19 downto 0)
out BCID_delayedstd_logic_vector (11 downto 0)
parity_gen calc_par_cbl_1calc_par_cbl_1
in BCID_instd_logic_vector (11 downto 0)
parity_gen calc_par_icalc_par_i
arr_4Xword (max_jems - 1 downto 0) datai_r
parity_gen calc_par_cbl_3calc_par_cbl_3
std_logic_vector (15 downto 0) jem_occupied_map
std_logic_vector (47 downto 0) jem_mult
std_logic_vector (11 downto 0) BCID_r
calc_parity_type (max_jems - 1 downto 0) par_err
calc_parity_type (max_jems - 1 downto 0) calc_par
in dataiarr_4Xword (max_jems - 1 downto 0)
in dinstd_logic_vector (width - 1 downto 0)
arr_4Xword (max_jems - 1 downto 0) datai_corr