CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
parity_chk.vhd File Reference

The parity_chk module calculates the parity of incoming data and compare to received parity bit. More...

Go to the source code of this file.

Entities

parity_chk  entity
 
rtl  architecture
 

Detailed Description

The parity_chk module calculates the parity of incoming data and compare to received parity bit.

If error found, dout is zeroed and perr is set high. If mask is set high, dout and perr are held low. NOTE: this logic is not obviously optimised for latency, but in practice it has a latency as low as anything that is.

Author
I. Brawn (RAL)
Date
01-04-2002

Definition in file parity_chk.vhd.