CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Behavioral Architecture Reference

Components

CMX_BASE_VME_BSPT  <Entity CMX_BASE_VME_BSPT>
vme_outreg  <Entity vme_outreg>
vme_inreg  <Entity vme_inreg>
vme_inreg_async  <Entity vme_inreg_async>
vme_local_switch  <Entity vme_local_switch>
vme_main_hub  <Entity vme_main_hub>
vme_inreg_notri_async  <Entity vme_inreg_notri_async>
vme_outreg_notri_async  <Entity vme_outreg_notri_async>
vme_inreg_notri  <Entity vme_inreg_notri>
vme_outreg_notri  <Entity vme_outreg_notri>
CMX_version  <Entity CMX_version>
sys_monitor  <Entity sys_monitor>
CMX_input_module  <Entity CMX_input_module>

Signals

ds  std_logic
ncs  std_logic
vme_address  std_logic_vector ( 23 downto 1 )
read_detect_outreg_test  std_logic
data_to_vme_outreg_test  std_logic_vector ( 15 downto 0 )
data_vme_from_below_top  arr_16 ( 1762 downto 0 )
bus_drive_from_below_top  std_logic_vector ( 1762 downto 0 )
bus_drive_up_top  std_logic
data_vme_up_top  std_logic_vector ( 15 downto 0 )
data_vme_going_below  std_logic_vector ( 15 downto 0 )
data_from_vme_test_rw  std_logic_vector ( 15 downto 0 )
data_to_vme_test_rw  std_logic_vector ( 15 downto 0 )
read_detect_inreg_test  std_logic
write_detect_inreg_test  std_logic
test_rw_counter  unsigned ( 15 downto 0 )
data_to_vme_test_r  std_logic_vector ( 15 downto 0 )
start_playback  std_logic
start_playback_r1  std_logic
counter_values  std_logic_vector ( numactchan - 1 downto 0 )
del_register  del_register_type
upload_delays  std_logic
quiet  std_logic

Attributes

keep  string
IOB  string

Detailed Description

Definition at line 771 of file CMX_top_Base.vhd.

Member Data Documentation

bus_drive_from_below_top std_logic_vector ( 1762 downto 0 )
Signal

Definition at line 864 of file CMX_top_Base.vhd.

bus_drive_up_top std_logic
Signal

Definition at line 865 of file CMX_top_Base.vhd.

CMX_BASE_VME_BSPT
Component

Definition at line 780 of file CMX_top_Base.vhd.

CMX_input_module
Component

Definition at line 1000 of file CMX_top_Base.vhd.

CMX_version
Component

Definition at line 948 of file CMX_top_Base.vhd.

counter_values std_logic_vector ( numactchan - 1 downto 0 )
Signal

Definition at line 1027 of file CMX_top_Base.vhd.

data_from_vme_test_rw std_logic_vector ( 15 downto 0 )
Signal

Definition at line 934 of file CMX_top_Base.vhd.

data_to_vme_outreg_test std_logic_vector ( 15 downto 0 )
Signal

Definition at line 813 of file CMX_top_Base.vhd.

data_to_vme_test_r std_logic_vector ( 15 downto 0 )
Signal

Definition at line 939 of file CMX_top_Base.vhd.

data_to_vme_test_rw std_logic_vector ( 15 downto 0 )
Signal

Definition at line 935 of file CMX_top_Base.vhd.

data_vme_from_below_top arr_16 ( 1762 downto 0 )
Signal

Definition at line 863 of file CMX_top_Base.vhd.

data_vme_going_below std_logic_vector ( 15 downto 0 )
Signal

Definition at line 867 of file CMX_top_Base.vhd.

data_vme_up_top std_logic_vector ( 15 downto 0 )
Signal

Definition at line 866 of file CMX_top_Base.vhd.

del_register del_register_type
Signal

Definition at line 1028 of file CMX_top_Base.vhd.

ds std_logic
Signal

Definition at line 792 of file CMX_top_Base.vhd.

IOB string
Attribute

Definition at line 774 of file CMX_top_Base.vhd.

keep string
Attribute

Definition at line 773 of file CMX_top_Base.vhd.

ncs std_logic
Signal

Definition at line 793 of file CMX_top_Base.vhd.

quiet std_logic
Signal

Definition at line 1033 of file CMX_top_Base.vhd.

read_detect_inreg_test std_logic
Signal

Definition at line 936 of file CMX_top_Base.vhd.

read_detect_outreg_test std_logic
Signal

Definition at line 812 of file CMX_top_Base.vhd.

start_playback std_logic
Signal

Definition at line 941 of file CMX_top_Base.vhd.

start_playback_r1 std_logic
Signal

Definition at line 941 of file CMX_top_Base.vhd.

sys_monitor
Component

Definition at line 961 of file CMX_top_Base.vhd.

test_rw_counter unsigned ( 15 downto 0 )
Signal

Definition at line 938 of file CMX_top_Base.vhd.

upload_delays std_logic
Signal

Definition at line 1029 of file CMX_top_Base.vhd.

vme_address std_logic_vector ( 23 downto 1 )
Signal

Definition at line 795 of file CMX_top_Base.vhd.

vme_inreg
Component

Definition at line 816 of file CMX_top_Base.vhd.

vme_inreg_async
Component

Definition at line 833 of file CMX_top_Base.vhd.

vme_inreg_notri
Component

Definition at line 899 of file CMX_top_Base.vhd.

Definition at line 869 of file CMX_top_Base.vhd.

vme_local_switch
Component

Definition at line 847 of file CMX_top_Base.vhd.

vme_main_hub
Component

Definition at line 855 of file CMX_top_Base.vhd.

vme_outreg
Component

Definition at line 797 of file CMX_top_Base.vhd.

vme_outreg_notri
Component

Definition at line 918 of file CMX_top_Base.vhd.

Definition at line 885 of file CMX_top_Base.vhd.

write_detect_inreg_test std_logic
Signal

Definition at line 937 of file CMX_top_Base.vhd.


The documentation for this class was generated from the following file: