CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
trig_sim.vhd
Go to the documentation of this file.
1 --------------------------------------------------------------------------------
2 -- Company:
3 -- Engineer:
4 --
5 -- Create Date: 21:51:17 02/02/2010
6 -- Design Name:
7 -- Module Name: C:/DESIGN/PP_Design/vtrig/v0/vtrg/CMX_top_Base_tb.vhd
8 -- Project Name: vtrg0
9 -- Target Device:
10 -- Tool versions:
11 -- Description:
12 --
13 -- VHDL Test Bench Created by ISE for module: trigger
14 --
15 -- Dependencies:
16 --
17 -- Revision:
18 -- Revision 0.01 - File Created
19 -- Additional Comments:
20 --
21 -- Notes:
22 -- This testbench has been automatically generated using types std_logic and
23 -- std_logic_vector for the ports of the unit under test. Xilinx recommends
24 -- that these types always be used for the top-level I/O of a design in order
25 -- to guarantee that the testbench will bind correctly to the post-implementation
26 -- simulation model.
27 --------------------------------------------------------------------------------
28 
29 LIBRARY ieee;
30 USE ieee.std_logic_1164.ALL;
31 USE ieee.std_logic_unsigned.all;
32 USE ieee.numeric_std.ALL;
33 use ieee.std_logic_textio.all;
34 use std.textio.all;
35 
36 library work;
37 use work.CMXpackage.all;
38 use work.CMX_VME_defs.all;
39 
40 
41 
42 
43 
44 ENTITY CMX_top_Base_tb IS
45 END CMX_top_Base_tb;
46 
47 ARCHITECTURE behavior OF CMX_top_Base_tb IS
48 
49  -- Component Declaration for the Unit Under Test (UUT)
50 
51 
52  function to_std_logic(c: character) return std_logic is
53  variable sl: std_logic;
54  begin
55  case c is
56  when 'U' =>
57  sl := 'U';
58  when 'X' =>
59  sl := 'X';
60  when '0' =>
61  sl := '0';
62  when '1' =>
63  sl := '1';
64  when 'Z' =>
65  sl := 'Z';
66  when 'W' =>
67  sl := 'W';
68  when 'L' =>
69  sl := 'L';
70  when 'H' =>
71  sl := 'H';
72  when '-' =>
73  sl := '-';
74  when others =>
75  sl := 'X';
76  end case;
77  return sl;
78  end to_std_logic;
79 
80 
81  function str_to_stdvec(inp: string) return std_logic_vector is
82  variable temp: std_logic_vector(inp'range) := (others => 'X');
83  begin
84  for i in inp'range loop
85  if (inp(i) = '1') then
86  temp(i) := '1';
87  elsif (inp(i) = '0') then
88  temp(i) := '0';
89  end if;
90  end loop;
91  return temp;
92  end function str_to_stdvec;
93 
94  ---------------------------------------------------------------------------
95  -- Function INT2SLV converts an integer to a std_logic_vector
96  ---------------------------------------------------------------------------
97  function INT2SLV(
98  val : integer;
99  size: integer :=32
100  ) return std_logic_vector is
101 
102  variable result: std_logic_vector(size-1 downto 0);
103  variable temp: integer;
104 
105  begin
106  temp := val;
107  for i in 0 to size-1 loop
108  if (temp mod 2) = 1 then
109  result(i) := '1';
110  else
111  result(i) := '0';
112  end if;
113 
114  if temp > 0 then
115  temp := temp / 2;
116  elsif (temp > integer'low) then
117  temp := (temp - 1) / 2; -- simulate ASR
118  else
119  temp := temp / 2; -- simulate ASR
120  end if;
121  end loop;
122 
123  return result;
124  end INT2SLV;
125 
126  ---------------------------------------------------------------------------
127  -- Function INT2SLV16 converts an integer to a 16 bit std_logic_vector
128  ---------------------------------------------------------------------------
129  function INT2SLV16(
130  val : integer
131  ) return std_logic_vector is
132 
133  begin
134  return INT2SLV(val, 16);
135 
136  end INT2SLV16;
137 
138 
139  -- Clocks
140  signal CLK_120MHz000_XTAL_1_BF_TRNCV_DIR : std_logic := '0';
141  signal CLK_120MHz000_XTAL_1_BF_TRNCV_CMP : std_logic := '0';
142  signal CLK40_DIR : std_logic := '0';
143  signal CLK40_CMP : std_logic := '0';
144  signal CLK160 : std_logic := '0';
145  signal CLK80 : std_logic := '0';
146 
147  -- Clock period definitions
148  constant CLK40_DIR_period : time := 24.95 ns;
149  constant CLK160_period : time := 6.25 ns;
150  constant CLK80_period : time := 12.50 ns;
151  constant CLK120_period : time := 8.33 ns;
152 
153 
154 
155  FILE data_jem0: TEXT open READ_MODE is "../../Jet_common/trunk/data/test0.txt";
156  FILE data_jem1: TEXT open READ_MODE is "../../Jet_common/trunk/data/test1.txt";
157  FILE data_jem2: TEXT open READ_MODE is "../../Jet_common/trunk/data/test2.txt";
158  FILE data_jem3: TEXT open READ_MODE is "../../Jet_common/trunk/data/test3.txt";
159  FILE data_jem4: TEXT open READ_MODE is "../../Jet_common/trunk/data/test4.txt";
160  FILE data_jem5: TEXT open READ_MODE is "../../Jet_common/trunk/data/test5.txt";
161  FILE data_jem6: TEXT open READ_MODE is "../../Jet_common/trunk/data/test6.txt";
162  FILE data_jem7: TEXT open READ_MODE is "../../Jet_common/trunk/data/test7.txt";
163  FILE data_jem8: TEXT open READ_MODE is "../../Jet_common/trunk/data/test8.txt";
164  FILE data_jem9: TEXT open READ_MODE is "../../Jet_common/trunk/data/test9.txt";
165  FILE data_jem10: TEXT open READ_MODE is "../../Jet_common/trunk/data/test10.txt";
166  FILE data_jem11: TEXT open READ_MODE is "../../Jet_common/trunk/data/test11.txt";
167  FILE data_jem12: TEXT open READ_MODE is "../../Jet_common/trunk/data/test12.txt";
168  FILE data_jem13: TEXT open READ_MODE is "../../Jet_common/trunk/data/test13.txt";
169  FILE data_jem14: TEXT open READ_MODE is "../../Jet_common/trunk/data/test14.txt";
170  FILE data_jem15: TEXT open READ_MODE is "../../Jet_common/trunk/data/test15.txt";
171 
172 
173  SHARED VARIABLE fileline_jem0 : LINE;
174  SHARED VARIABLE fileline_jem1 : LINE;
175  SHARED VARIABLE fileline_jem2 : LINE;
176  SHARED VARIABLE fileline_jem3 : LINE;
177  SHARED VARIABLE fileline_jem4 : LINE;
178  SHARED VARIABLE fileline_jem5 : LINE;
179  SHARED VARIABLE fileline_jem6 : LINE;
180  SHARED VARIABLE fileline_jem7 : LINE;
181  SHARED VARIABLE fileline_jem8 : LINE;
182  SHARED VARIABLE fileline_jem9 : LINE;
183  SHARED VARIABLE fileline_jem10 : LINE;
184  SHARED VARIABLE fileline_jem11 : LINE;
185  SHARED VARIABLE fileline_jem12 : LINE;
186  SHARED VARIABLE fileline_jem13 : LINE;
187  SHARED VARIABLE fileline_jem14 : LINE;
188  SHARED VARIABLE fileline_jem15 : LINE;
189 
190 
191  SHARED VARIABLE trigsave : LINE;
192  SHARED VARIABLE memstring : string(23 downto 1);
193  SHARED VARIABLE memint : integer;
194  type mystring is array(15 downto 0) of string(24 downto 1); --always counts from one
195  SHARED VARIABLE dstring : mystring;
196 
197 
198  -- Clock period definitions
199  constant PERIOD_USR_CLK : time := 12.5 ns;
200  constant DUTY_CYCLE : real := 0.5;
201  constant OFFSET : time := 0 ns;
202  constant zero : std_logic :='0';
203  signal zeros : std_logic_vector(15 downto 0) := (others => '0');
204  signal P : mat_var (numactchan-1 downto 0):=(others => (others => '0'));
205  signal L1A : std_logic;
206 
207  component CMX_top_Base is
208  port (
209 
210  ----------------------------------------------------------------------------
211  -- VME-- backplane (65 signals)
212  ----------------------------------------------------------------------------
213  --GEOADDR0: in std_logic; -- GeoAddr0
214  OCB_GEO_ADRS_0: in std_logic;
215  --VMEADDR: in std_logic_vector(23 downto 1); -- Address bus
216  OCB_A01: in std_logic;
217  OCB_A02: in std_logic;
218  OCB_A03: in std_logic;
219  OCB_A04: in std_logic;
220  OCB_A05: in std_logic;
221  OCB_A06: in std_logic;
222  OCB_A07: in std_logic;
223  OCB_A08: in std_logic;
224  OCB_A09: in std_logic;
225  OCB_A10: in std_logic;
226  OCB_A11: in std_logic;
227  OCB_A12: in std_logic;
228  OCB_A13: in std_logic;
229  OCB_A14: in std_logic;
230  OCB_A15: in std_logic;
231  OCB_A16: in std_logic;
232  OCB_A17: in std_logic;
233  OCB_A18: in std_logic;
234  OCB_A19: in std_logic;
235  OCB_A20: in std_logic;
236  OCB_A21: in std_logic;
237  OCB_A22: in std_logic;
238  OCB_A23: in std_logic;
239  --VMEDS_L: in std_logic; -- DS strobe VMEDS_L
240  OCB_DS_B: in std_logic;
241  --VMEWR_L: in std_logic; -- VME Write VMEWR_L
242  OCB_WRITE_B: in std_logic;
243  --VMERST_L: in std_logic; -- System reset VMERST_L
244  OCB_SYS_RESET_B: in std_logic;
245  --VMEDATA: inout std_logic_vector(15 downto 0); -- Data bus VMEDATA
246  OCB_D: inout std_logic_vector(15 downto 0);
247  ----------------------------------------------------------------------------
248 
249 
250  P0_0 : in std_logic;
251  P0_1 : in std_logic;
252  P0_2 : in std_logic;
253  P0_3 : in std_logic;
254  P0_4 : in std_logic;
255  P0_5 : in std_logic;
256  P0_6 : in std_logic;
257  P0_7 : in std_logic;
258  P0_8 : in std_logic;
259  P0_9 : in std_logic;
260  P0_10 : in std_logic;
261  P0_11 : in std_logic;
262  P0_12 : in std_logic;
263  P0_13 : in std_logic;
264  P0_14 : in std_logic;
265  P0_15 : in std_logic;
266  P0_16 : in std_logic;
267  P0_17 : in std_logic;
268  P0_18 : in std_logic;
269  P0_19 : in std_logic;
270  P0_20 : in std_logic;
271  P0_21 : in std_logic;
272  P0_22 : in std_logic;
273  P0_23 : in std_logic;
274  P0_24 : in std_logic;
275  P1_0 : in std_logic;
276  P1_1 : in std_logic;
277  P1_2 : in std_logic;
278  P1_3 : in std_logic;
279  P1_4 : in std_logic;
280  P1_5 : in std_logic;
281  P1_6 : in std_logic;
282  P1_7 : in std_logic;
283  P1_8 : in std_logic;
284  P1_9 : in std_logic;
285  P1_10 : in std_logic;
286  P1_11 : in std_logic;
287  P1_12 : in std_logic;
288  P1_13 : in std_logic;
289  P1_14 : in std_logic;
290  P1_15 : in std_logic;
291  P1_16 : in std_logic;
292  P1_17 : in std_logic;
293  P1_18 : in std_logic;
294  P1_19 : in std_logic;
295  P1_20 : in std_logic;
296  P1_21 : in std_logic;
297  P1_22 : in std_logic;
298  P1_23 : in std_logic;
299  P1_24 : in std_logic;
300  P2_0 : in std_logic;
301  P2_1 : in std_logic;
302  P2_2 : in std_logic;
303  P2_3 : in std_logic;
304  P2_4 : in std_logic;
305  P2_5 : in std_logic;
306  P2_6 : in std_logic;
307  P2_7 : in std_logic;
308  P2_8 : in std_logic;
309  P2_9 : in std_logic;
310  P2_10 : in std_logic;
311  P2_11 : in std_logic;
312  P2_12 : in std_logic;
313  P2_13 : in std_logic;
314  P2_14 : in std_logic;
315  P2_15 : in std_logic;
316  P2_16 : in std_logic;
317  P2_17 : in std_logic;
318  P2_18 : in std_logic;
319  P2_19 : in std_logic;
320  P2_20 : in std_logic;
321  P2_21 : in std_logic;
322  P2_22 : in std_logic;
323  P2_23 : in std_logic;
324  P2_24 : in std_logic;
325  P3_0 : in std_logic;
326  P3_1 : in std_logic;
327  P3_2 : in std_logic;
328  P3_3 : in std_logic;
329  P3_4 : in std_logic;
330  P3_5 : in std_logic;
331  P3_6 : in std_logic;
332  P3_7 : in std_logic;
333  P3_8 : in std_logic;
334  P3_9 : in std_logic;
335  P3_10 : in std_logic;
336  P3_11 : in std_logic;
337  P3_12 : in std_logic;
338  P3_13 : in std_logic;
339  P3_14 : in std_logic;
340  P3_15 : in std_logic;
341  P3_16 : in std_logic;
342  P3_17 : in std_logic;
343  P3_18 : in std_logic;
344  P3_19 : in std_logic;
345  P3_20 : in std_logic;
346  P3_21 : in std_logic;
347  P3_22 : in std_logic;
348  P3_23 : in std_logic;
349  P3_24 : in std_logic;
350  P4_0 : in std_logic;
351  P4_1 : in std_logic;
352  P4_2 : in std_logic;
353  P4_3 : in std_logic;
354  P4_4 : in std_logic;
355  P4_5 : in std_logic;
356  P4_6 : in std_logic;
357  P4_7 : in std_logic;
358  P4_8 : in std_logic;
359  P4_9 : in std_logic;
360  P4_10 : in std_logic;
361  P4_11 : in std_logic;
362  P4_12 : in std_logic;
363  P4_13 : in std_logic;
364  P4_14 : in std_logic;
365  P4_15 : in std_logic;
366  P4_16 : in std_logic;
367  P4_17 : in std_logic;
368  P4_18 : in std_logic;
369  P4_19 : in std_logic;
370  P4_20 : in std_logic;
371  P4_21 : in std_logic;
372  P4_22 : in std_logic;
373  P4_23 : in std_logic;
374  P4_24 : in std_logic;
375  P5_0 : in std_logic;
376  P5_1 : in std_logic;
377  P5_2 : in std_logic;
378  P5_3 : in std_logic;
379  P5_4 : in std_logic;
380  P5_5 : in std_logic;
381  P5_6 : in std_logic;
382  P5_7 : in std_logic;
383  P5_8 : in std_logic;
384  P5_9 : in std_logic;
385  P5_10 : in std_logic;
386  P5_11 : in std_logic;
387  P5_12 : in std_logic;
388  P5_13 : in std_logic;
389  P5_14 : in std_logic;
390  P5_15 : in std_logic;
391  P5_16 : in std_logic;
392  P5_17 : in std_logic;
393  P5_18 : in std_logic;
394  P5_19 : in std_logic;
395  P5_20 : in std_logic;
396  P5_21 : in std_logic;
397  P5_22 : in std_logic;
398  P5_23 : in std_logic;
399  P5_24 : in std_logic;
400  P6_0 : in std_logic;
401  P6_1 : in std_logic;
402  P6_2 : in std_logic;
403  P6_3 : in std_logic;
404  P6_4 : in std_logic;
405  P6_5 : in std_logic;
406  P6_6 : in std_logic;
407  P6_7 : in std_logic;
408  P6_8 : in std_logic;
409  P6_9 : in std_logic;
410  P6_10 : in std_logic;
411  P6_11 : in std_logic;
412  P6_12 : in std_logic;
413  P6_13 : in std_logic;
414  P6_14 : in std_logic;
415  P6_15 : in std_logic;
416  P6_16 : in std_logic;
417  P6_17 : in std_logic;
418  P6_18 : in std_logic;
419  P6_19 : in std_logic;
420  P6_20 : in std_logic;
421  P6_21 : in std_logic;
422  P6_22 : in std_logic;
423  P6_23 : in std_logic;
424  P6_24 : in std_logic;
425  P7_0 : in std_logic;
426  P7_1 : in std_logic;
427  P7_2 : in std_logic;
428  P7_3 : in std_logic;
429  P7_4 : in std_logic;
430  P7_5 : in std_logic;
431  P7_6 : in std_logic;
432  P7_7 : in std_logic;
433  P7_8 : in std_logic;
434  P7_9 : in std_logic;
435  P7_10 : in std_logic;
436  P7_11 : in std_logic;
437  P7_12 : in std_logic;
438  P7_13 : in std_logic;
439  P7_14 : in std_logic;
440  P7_15 : in std_logic;
441  P7_16 : in std_logic;
442  P7_17 : in std_logic;
443  P7_18 : in std_logic;
444  P7_19 : in std_logic;
445  P7_20 : in std_logic;
446  P7_21 : in std_logic;
447  P7_22 : in std_logic;
448  P7_23 : in std_logic;
449  P7_24 : in std_logic;
450  P8_0 : in std_logic;
451  P8_1 : in std_logic;
452  P8_2 : in std_logic;
453  P8_3 : in std_logic;
454  P8_4 : in std_logic;
455  P8_5 : in std_logic;
456  P8_6 : in std_logic;
457  P8_7 : in std_logic;
458  P8_8 : in std_logic;
459  P8_9 : in std_logic;
460  P8_10 : in std_logic;
461  P8_11 : in std_logic;
462  P8_12 : in std_logic;
463  P8_13 : in std_logic;
464  P8_14 : in std_logic;
465  P8_15 : in std_logic;
466  P8_16 : in std_logic;
467  P8_17 : in std_logic;
468  P8_18 : in std_logic;
469  P8_19 : in std_logic;
470  P8_20 : in std_logic;
471  P8_21 : in std_logic;
472  P8_22 : in std_logic;
473  P8_23 : in std_logic;
474  P8_24 : in std_logic;
475  P9_0 : in std_logic;
476  P9_1 : in std_logic;
477  P9_2 : in std_logic;
478  P9_3 : in std_logic;
479  P9_4 : in std_logic;
480  P9_5 : in std_logic;
481  P9_6 : in std_logic;
482  P9_7 : in std_logic;
483  P9_8 : in std_logic;
484  P9_9 : in std_logic;
485  P9_10 : in std_logic;
486  P9_11 : in std_logic;
487  P9_12 : in std_logic;
488  P9_13 : in std_logic;
489  P9_14 : in std_logic;
490  P9_15 : in std_logic;
491  P9_16 : in std_logic;
492  P9_17 : in std_logic;
493  P9_18 : in std_logic;
494  P9_19 : in std_logic;
495  P9_20 : in std_logic;
496  P9_21 : in std_logic;
497  P9_22 : in std_logic;
498  P9_23 : in std_logic;
499  P9_24 : in std_logic;
500  P10_0 : in std_logic;
501  P10_1 : in std_logic;
502  P10_2 : in std_logic;
503  P10_3 : in std_logic;
504  P10_4 : in std_logic;
505  P10_5 : in std_logic;
506  P10_6 : in std_logic;
507  P10_7 : in std_logic;
508  P10_8 : in std_logic;
509  P10_9 : in std_logic;
510  P10_10 : in std_logic;
511  P10_11 : in std_logic;
512  P10_12 : in std_logic;
513  P10_13 : in std_logic;
514  P10_14 : in std_logic;
515  P10_15 : in std_logic;
516  P10_16 : in std_logic;
517  P10_17 : in std_logic;
518  P10_18 : in std_logic;
519  P10_19 : in std_logic;
520  P10_20 : in std_logic;
521  P10_21 : in std_logic;
522  P10_22 : in std_logic;
523  P10_23 : in std_logic;
524  P10_24 : in std_logic;
525  P11_0 : in std_logic;
526  P11_1 : in std_logic;
527  P11_2 : in std_logic;
528  P11_3 : in std_logic;
529  P11_4 : in std_logic;
530  P11_5 : in std_logic;
531  P11_6 : in std_logic;
532  P11_7 : in std_logic;
533  P11_8 : in std_logic;
534  P11_9 : in std_logic;
535  P11_10 : in std_logic;
536  P11_11 : in std_logic;
537  P11_12 : in std_logic;
538  P11_13 : in std_logic;
539  P11_14 : in std_logic;
540  P11_15 : in std_logic;
541  P11_16 : in std_logic;
542  P11_17 : in std_logic;
543  P11_18 : in std_logic;
544  P11_19 : in std_logic;
545  P11_20 : in std_logic;
546  P11_21 : in std_logic;
547  P11_22 : in std_logic;
548  P11_23 : in std_logic;
549  P11_24 : in std_logic;
550  P12_0 : in std_logic;
551  P12_1 : in std_logic;
552  P12_2 : in std_logic;
553  P12_3 : in std_logic;
554  P12_4 : in std_logic;
555  P12_5 : in std_logic;
556  P12_6 : in std_logic;
557  P12_7 : in std_logic;
558  P12_8 : in std_logic;
559  P12_9 : in std_logic;
560  P12_10 : in std_logic;
561  P12_11 : in std_logic;
562  P12_12 : in std_logic;
563  P12_13 : in std_logic;
564  P12_14 : in std_logic;
565  P12_15 : in std_logic;
566  P12_16 : in std_logic;
567  P12_17 : in std_logic;
568  P12_18 : in std_logic;
569  P12_19 : in std_logic;
570  P12_20 : in std_logic;
571  P12_21 : in std_logic;
572  P12_22 : in std_logic;
573  P12_23 : in std_logic;
574  P12_24 : in std_logic;
575  P13_0 : in std_logic;
576  P13_1 : in std_logic;
577  P13_2 : in std_logic;
578  P13_3 : in std_logic;
579  P13_4 : in std_logic;
580  P13_5 : in std_logic;
581  P13_6 : in std_logic;
582  P13_7 : in std_logic;
583  P13_8 : in std_logic;
584  P13_9 : in std_logic;
585  P13_10 : in std_logic;
586  P13_11 : in std_logic;
587  P13_12 : in std_logic;
588  P13_13 : in std_logic;
589  P13_14 : in std_logic;
590  P13_15 : in std_logic;
591  P13_16 : in std_logic;
592  P13_17 : in std_logic;
593  P13_18 : in std_logic;
594  P13_19 : in std_logic;
595  P13_20 : in std_logic;
596  P13_21 : in std_logic;
597  P13_22 : in std_logic;
598  P13_23 : in std_logic;
599  P13_24 : in std_logic;
600  P14_0 : in std_logic;
601  P14_1 : in std_logic;
602  P14_2 : in std_logic;
603  P14_3 : in std_logic;
604  P14_4 : in std_logic;
605  P14_5 : in std_logic;
606  P14_6 : in std_logic;
607  P14_7 : in std_logic;
608  P14_8 : in std_logic;
609  P14_9 : in std_logic;
610  P14_10 : in std_logic;
611  P14_11 : in std_logic;
612  P14_12 : in std_logic;
613  P14_13 : in std_logic;
614  P14_14 : in std_logic;
615  P14_15 : in std_logic;
616  P14_16 : in std_logic;
617  P14_17 : in std_logic;
618  P14_18 : in std_logic;
619  P14_19 : in std_logic;
620  P14_20 : in std_logic;
621  P14_21 : in std_logic;
622  P14_22 : in std_logic;
623  P14_23 : in std_logic;
624  P14_24 : in std_logic;
625  P15_0 : in std_logic;
626  P15_1 : in std_logic;
627  P15_2 : in std_logic;
628  P15_3 : in std_logic;
629  P15_4 : in std_logic;
630  P15_5 : in std_logic;
631  P15_6 : in std_logic;
632  P15_7 : in std_logic;
633  P15_8 : in std_logic;
634  P15_9 : in std_logic;
635  P15_10 : in std_logic;
636  P15_11 : in std_logic;
637  P15_12 : in std_logic;
638  P15_13 : in std_logic;
639  P15_14 : in std_logic;
640  P15_15 : in std_logic;
641  P15_16 : in std_logic;
642  P15_17 : in std_logic;
643  P15_18 : in std_logic;
644  P15_19 : in std_logic;
645  P15_20 : in std_logic;
646  P15_21 : in std_logic;
647  P15_22 : in std_logic;
648  P15_23 : in std_logic;
649  P15_24 : in std_logic;
650 
651 
652  --CLK_40MHz08_DSKW_2_BF_LOGIC_DIR : in std_logic;
653  --CLK_40MHz08_DSKW_2_BF_LOGIC_CMP : in std_logic;
654 
655  CLK_40MHz08_DSKW_1_BF_LOGIC_DIR : in std_logic;
656  CLK_40MHz08_DSKW_1_BF_LOGIC_CMP : in std_logic;
657 
658  --CLK_320MHz64_LHC_BF_LOGIC_DIR : in std_logic;
659  --CLK_320MHz64_LHC_BF_LOGIC_CMP : in std_logic;
660 
661  BF_DEBUG_0 : out std_logic;
662  BF_DEBUG_1 : out std_logic;
663  BF_DEBUG_2 : out std_logic;
664  BF_DEBUG_3 : out std_logic;
665  BF_DEBUG_4 : out std_logic;
666  BF_DEBUG_5 : out std_logic;
667  BF_DEBUG_6 : out std_logic;
668  BF_DEBUG_7 : out std_logic;
669  BF_DEBUG_8 : out std_logic;
670  BF_DEBUG_9 : out std_logic;
671 
672 
673  BF_REQ_CTP_1_INPUT : out std_logic;
674  BF_REQ_CTP_2_INPUT : out std_logic;
675  BF_REQ_CABLE_1_INPUT: out std_logic;
676  BF_REQ_CABLE_2_INPUT: out std_logic;
677  BF_REQ_CABLE_3_INPUT: out std_logic;
678  BF_LED_REQ_0 : out std_logic;
679  BF_LED_REQ_1 : out std_logic;
680  BF_LED_REQ_2 : out std_logic;
681  BF_LED_REQ_3 : out std_logic;
682  BF_LED_REQ_4 : out std_logic;
683  BF_TO_FROM_BSPT_0 : out std_logic;
684  BF_TO_FROM_BSPT_1 : out std_logic;
685  BF_TO_FROM_BSPT_2 : out std_logic;
686  BF_TO_FROM_BSPT_3 : out std_logic;
687  BF_TO_FROM_BSPT_4 : out std_logic;
688  BF_TO_FROM_BSPT_5 : out std_logic;
689  BF_TO_FROM_BSPT_6 : out std_logic;
690  BF_TO_FROM_BSPT_7 : out std_logic;
691 
692 
693  BF_DOUT_CTP_00 : out std_logic;
694  BF_DOUT_CTP_01 : out std_logic;
695  BF_DOUT_CTP_02 : out std_logic;
696  BF_DOUT_CTP_03 : out std_logic;
697  BF_DOUT_CTP_04 : out std_logic;
698  BF_DOUT_CTP_05 : out std_logic;
699  BF_DOUT_CTP_06 : out std_logic;
700  BF_DOUT_CTP_07 : out std_logic;
701  BF_DOUT_CTP_08 : out std_logic;
702  BF_DOUT_CTP_09 : out std_logic;
703  BF_DOUT_CTP_10 : out std_logic;
704  BF_DOUT_CTP_11 : out std_logic;
705  BF_DOUT_CTP_12 : out std_logic;
706  BF_DOUT_CTP_13 : out std_logic;
707  BF_DOUT_CTP_14 : out std_logic;
708  BF_DOUT_CTP_15 : out std_logic;
709  BF_DOUT_CTP_16 : out std_logic;
710  BF_DOUT_CTP_17 : out std_logic;
711  BF_DOUT_CTP_18 : out std_logic;
712  BF_DOUT_CTP_19 : out std_logic;
713  BF_DOUT_CTP_20 : out std_logic;
714  BF_DOUT_CTP_21 : out std_logic;
715  BF_DOUT_CTP_22 : out std_logic;
716  BF_DOUT_CTP_23 : out std_logic;
717  BF_DOUT_CTP_24 : out std_logic;
718  BF_DOUT_CTP_25 : out std_logic;
719  BF_DOUT_CTP_26 : out std_logic;
720  BF_DOUT_CTP_27 : out std_logic;
721  BF_DOUT_CTP_28 : out std_logic;
722  BF_DOUT_CTP_29 : out std_logic;
723  BF_DOUT_CTP_30 : out std_logic;
724  BF_DOUT_CTP_31 : out std_logic;
725  BF_DOUT_CTP_64 : out std_logic;
726 
727  BF_DOUT_CTP_32 : out std_logic;
728  BF_DOUT_CTP_33 : out std_logic;
729  BF_DOUT_CTP_34 : out std_logic;
730  BF_DOUT_CTP_35 : out std_logic;
731  BF_DOUT_CTP_36 : out std_logic;
732  BF_DOUT_CTP_37 : out std_logic;
733  BF_DOUT_CTP_38 : out std_logic;
734  BF_DOUT_CTP_39 : out std_logic;
735  BF_DOUT_CTP_40 : out std_logic;
736  BF_DOUT_CTP_41 : out std_logic;
737  BF_DOUT_CTP_42 : out std_logic;
738  BF_DOUT_CTP_43 : out std_logic;
739  BF_DOUT_CTP_44 : out std_logic;
740  BF_DOUT_CTP_45 : out std_logic;
741  BF_DOUT_CTP_46 : out std_logic;
742  BF_DOUT_CTP_47 : out std_logic;
743  BF_DOUT_CTP_48 : out std_logic;
744  BF_DOUT_CTP_49 : out std_logic;
745  BF_DOUT_CTP_50 : out std_logic;
746  BF_DOUT_CTP_51 : out std_logic;
747  BF_DOUT_CTP_52 : out std_logic;
748  BF_DOUT_CTP_53 : out std_logic;
749  BF_DOUT_CTP_54 : out std_logic;
750  BF_DOUT_CTP_55 : out std_logic;
751  BF_DOUT_CTP_56 : out std_logic;
752  BF_DOUT_CTP_57 : out std_logic;
753  BF_DOUT_CTP_58 : out std_logic;
754  BF_DOUT_CTP_59 : out std_logic;
755  BF_DOUT_CTP_60 : out std_logic;
756  BF_DOUT_CTP_61 : out std_logic;
757  BF_DOUT_CTP_62 : out std_logic;
758  BF_DOUT_CTP_63 : out std_logic;
759  BF_DOUT_CTP_65 : out std_logic;
760 
761  D_CBL_00_B : out std_logic;
762  D_CBL_01_B : out std_logic;
763  D_CBL_02_B : out std_logic;
764  D_CBL_03_B : out std_logic;
765  D_CBL_04_B : out std_logic;
766  D_CBL_05_B : out std_logic;
767  D_CBL_06_B : out std_logic;
768  D_CBL_07_B : out std_logic;
769  D_CBL_08_B : out std_logic;
770  D_CBL_09_B : out std_logic;
771  D_CBL_10_B : out std_logic;
772  D_CBL_11_B : out std_logic;
773  D_CBL_12_B : out std_logic;
774  D_CBL_13_B : out std_logic;
775  D_CBL_14_B : out std_logic;
776  D_CBL_15_B : out std_logic;
777  D_CBL_16_B : out std_logic;
778  D_CBL_17_B : out std_logic;
779  D_CBL_18_B : out std_logic;
780  D_CBL_19_B : out std_logic;
781  D_CBL_20_B : out std_logic;
782  D_CBL_21_B : out std_logic;
783  D_CBL_22_B : out std_logic;
784  D_CBL_23_B : out std_logic;
785  D_CBL_24_B : out std_logic;
786  D_CBL_25_B : out std_logic;
787  D_CBL_26_B : out std_logic;
788  D_CBL_81_B : out std_logic;
789 
790  D_CBL_27_B : out std_logic;
791  D_CBL_28_B : out std_logic;
792  D_CBL_29_B : out std_logic;
793  D_CBL_30_B : out std_logic;
794  D_CBL_31_B : out std_logic;
795  D_CBL_32_B : out std_logic;
796  D_CBL_33_B : out std_logic;
797  D_CBL_34_B : out std_logic;
798  D_CBL_35_B : out std_logic;
799  D_CBL_36_B : out std_logic;
800  D_CBL_37_B : out std_logic;
801  D_CBL_38_B : out std_logic;
802  D_CBL_39_B : out std_logic;
803  D_CBL_40_B : out std_logic;
804  D_CBL_41_B : out std_logic;
805  D_CBL_42_B : out std_logic;
806  D_CBL_43_B : out std_logic;
807  D_CBL_44_B : out std_logic;
808  D_CBL_45_B : out std_logic;
809  D_CBL_46_B : out std_logic;
810  D_CBL_47_B : out std_logic;
811  D_CBL_48_B : out std_logic;
812  D_CBL_49_B : out std_logic;
813  D_CBL_50_B : out std_logic;
814  D_CBL_51_B : out std_logic;
815  D_CBL_52_B : out std_logic;
816  D_CBL_53_B : out std_logic;
817  D_CBL_82_B : out std_logic;
818 
819  D_CBL_54_B : out std_logic;
820  D_CBL_55_B : out std_logic;
821  D_CBL_56_B : out std_logic;
822  D_CBL_57_B : out std_logic;
823  D_CBL_58_B : out std_logic;
824  D_CBL_59_B : out std_logic;
825  D_CBL_60_B : out std_logic;
826  D_CBL_61_B : out std_logic;
827  D_CBL_62_B : out std_logic;
828  D_CBL_63_B : out std_logic;
829  D_CBL_64_B : out std_logic;
830  D_CBL_65_B : out std_logic;
831  D_CBL_66_B : out std_logic;
832  D_CBL_67_B : out std_logic;
833  D_CBL_68_B : out std_logic;
834  D_CBL_69_B : out std_logic;
835  D_CBL_70_B : out std_logic;
836  D_CBL_71_B : out std_logic;
837  D_CBL_72_B : out std_logic;
838  D_CBL_73_B : out std_logic;
839  D_CBL_74_B : out std_logic;
840  D_CBL_75_B : out std_logic;
841  D_CBL_76_B : out std_logic;
842  D_CBL_77_B : out std_logic;
843  D_CBL_78_B : out std_logic;
844  D_CBL_79_B : out std_logic;
845  D_CBL_80_B : out std_logic;
846  D_CBL_83_B : out std_logic;
847 
848  BF_TO_TP_DAQ_SLINK_RETURN_DIR : in std_logic;
849  BF_TO_TP_DAQ_SLINK_RETURN_CMP : in std_logic;
850  BF_TO_TP_ROI_SLINK_RETURN_DIR : in std_logic;
851  BF_TO_TP_ROI_SLINK_RETURN_CMP : in std_logic;
852 
853  BUF_TTC_L1_ACCEPT : in std_logic;
854  BUF_TTC_BNCH_CNT_RES : in std_logic;
855 
856  CLK_120MHz000_XTAL_1_BF_TRNCV_DIR: in std_logic;
857  CLK_120MHz000_XTAL_1_BF_TRNCV_CMP: in std_logic;
858  BF_DAQ_DATA_OUT_DIR : out std_logic;
859  BF_DAQ_DATA_OUT_CMP : out std_logic;
860  BF_ROI_DATA_OUT_DIR : out std_logic;
861  BF_ROI_DATA_OUT_CMP : out std_logic
862 
863  );
864 
865  end component;
866 
867  signal OCB_DS_B: std_logic;
868  signal OCB_A: std_logic_vector(23 downto 0);
869  signal OCB_D: std_logic_vector(15 downto 0);
870 
871  signal OCB_A_BOARD_ADDR: std_logic_vector(7 downto 0);
872  signal OCB_A_LOCAL_ADDR: std_logic_vector(15 downto 0);
873 
874  --011 1000 0000 0010 1011 0001
875  -- *2 = x700562
876 
877  signal BUF_TTC_BNCH_CNT_RES : std_logic;
878 
879 BEGIN
880 
881 
882  OCB_A(23 downto 16) <=OCB_A_BOARD_ADDR;
883  OCB_A(15 downto 0) <=OCB_A_LOCAL_ADDR;
884 
885 
886  -- Instantiate the Unit Under Test (UUT)
887  uut: CMX_top_Base PORT MAP (
888  ----------------------------------------------------------------------------
889  -- VME-- backplane (65 signals)
890  ----------------------------------------------------------------------------
891  OCB_GEO_ADRS_0 => zero,
892  OCB_A01 => OCB_A(1),
893  OCB_A02 => OCB_A(2),
894  OCB_A03 => OCB_A(3),
895  OCB_A04 => OCB_A(4),
896  OCB_A05 => OCB_A(5),
897  OCB_A06 => OCB_A(6),
898  OCB_A07 => OCB_A(7),
899  OCB_A08 => OCB_A(8),
900  OCB_A09 => OCB_A(9),
901  OCB_A10 => OCB_A(10),
902  OCB_A11 => OCB_A(11),
903  OCB_A12 => OCB_A(12),
904  OCB_A13 => OCB_A(13),
905  OCB_A14 => OCB_A(14),
906  OCB_A15 => OCB_A(15),
907  OCB_A16 => OCB_A(16),
908  OCB_A17 => OCB_A(17),
909  OCB_A18 => OCB_A(18),
910  OCB_A19 => OCB_A(19),
911  OCB_A20 => OCB_A(20),
912  OCB_A21 => OCB_A(21),
913  OCB_A22 => OCB_A(22),
914  OCB_A23 => OCB_A(23),
915  OCB_DS_B => OCB_DS_B,
916  OCB_WRITE_B => zero,
917  OCB_SYS_RESET_B => zero,
918  OCB_D => OCB_D,
919  ----------------------------------------------------------------------------
920 
921 
922  P0_0 => P(0)(0),
923  P0_1 => P(0)(1),
924  P0_2 => P(0)(2),
925  P0_3 => P(0)(3),
926  P0_4 => P(0)(4),
927  P0_5 => P(0)(5),
928  P0_6 => P(0)(6),
929  P0_7 => P(0)(7),
930  P0_8 => P(0)(8),
931  P0_9 => P(0)(9),
932  P0_10 => P(0)(10),
933  P0_11 => P(0)(11),
934  P0_12 => P(0)(12),
935  P0_13 => P(0)(13),
936  P0_14 => P(0)(14),
937  P0_15 => P(0)(15),
938  P0_16 => P(0)(16),
939  P0_17 => P(0)(17),
940  P0_18 => P(0)(18),
941  P0_19 => P(0)(19),
942  P0_20 => P(0)(20),
943  P0_21 => P(0)(21),
944  P0_22 => P(0)(22),
945  P0_23 => P(0)(23),
946  P0_24 => P(0)(24),
947  --
948  P1_0 => P(1)(0),
949  P1_1 => P(1)(1),
950  P1_2 => P(1)(2),
951  P1_3 => P(1)(3),
952  P1_4 => P(1)(4),
953  P1_5 => P(1)(5),
954  P1_6 => P(1)(6),
955  P1_7 => P(1)(7),
956  P1_8 => P(1)(8),
957  P1_9 => P(1)(9),
958  P1_10 => P(1)(10),
959  P1_11 => P(1)(11),
960  P1_12 => P(1)(12),
961  P1_13 => P(1)(13),
962  P1_14 => P(1)(14),
963  P1_15 => P(1)(15),
964  P1_16 => P(1)(16),
965  P1_17 => P(1)(17),
966  P1_18 => P(1)(18),
967  P1_19 => P(1)(19),
968  P1_20 => P(1)(20),
969  P1_21 => P(1)(21),
970  P1_22 => P(1)(22),
971  P1_23 => P(1)(23),
972  P1_24 => P(1)(24),
973  --
974  P2_0 => P(2)(0),
975  P2_1 => P(2)(1),
976  P2_2 => P(2)(2),
977  P2_3 => P(2)(3),
978  P2_4 => P(2)(4),
979  P2_5 => P(2)(5),
980  P2_6 => P(2)(6),
981  P2_7 => P(2)(7),
982  P2_8 => P(2)(8),
983  P2_9 => P(2)(9),
984  P2_10 => P(2)(10),
985  P2_11 => P(2)(11),
986  P2_12 => P(2)(12),
987  P2_13 => P(2)(13),
988  P2_14 => P(2)(14),
989  P2_15 => P(2)(15),
990  P2_16 => P(2)(16),
991  P2_17 => P(2)(17),
992  P2_18 => P(2)(18),
993  P2_19 => P(2)(19),
994  P2_20 => P(2)(20),
995  P2_21 => P(2)(21),
996  P2_22 => P(2)(22),
997  P2_23 => P(2)(23),
998  P2_24 => P(2)(24),
999  --
1000  P3_0 => P(3)(0),
1001  P3_1 => P(3)(1),
1002  P3_2 => P(3)(2),
1003  P3_3 => P(3)(3),
1004  P3_4 => P(3)(4),
1005  P3_5 => P(3)(5),
1006  P3_6 => P(3)(6),
1007  P3_7 => P(3)(7),
1008  P3_8 => P(3)(8),
1009  P3_9 => P(3)(9),
1010  P3_10 => P(3)(10),
1011  P3_11 => P(3)(11),
1012  P3_12 => P(3)(12),
1013  P3_13 => P(3)(13),
1014  P3_14 => P(3)(14),
1015  P3_15 => P(3)(15),
1016  P3_16 => P(3)(16),
1017  P3_17 => P(3)(17),
1018  P3_18 => P(3)(18),
1019  P3_19 => P(3)(19),
1020  P3_20 => P(3)(20),
1021  P3_21 => P(3)(21),
1022  P3_22 => P(3)(22),
1023  P3_23 => P(3)(23),
1024  P3_24 => P(3)(24),
1025  --
1026  P4_0 => P(4)(0),
1027  P4_1 => P(4)(1),
1028  P4_2 => P(4)(2),
1029  P4_3 => P(4)(3),
1030  P4_4 => P(4)(4),
1031  P4_5 => P(4)(5),
1032  P4_6 => P(4)(6),
1033  P4_7 => P(4)(7),
1034  P4_8 => P(4)(8),
1035  P4_9 => P(4)(9),
1036  P4_10 => P(4)(10),
1037  P4_11 => P(4)(11),
1038  P4_12 => P(4)(12),
1039  P4_13 => P(4)(13),
1040  P4_14 => P(4)(14),
1041  P4_15 => P(4)(15),
1042  P4_16 => P(4)(16),
1043  P4_17 => P(4)(17),
1044  P4_18 => P(4)(18),
1045  P4_19 => P(4)(19),
1046  P4_20 => P(4)(20),
1047  P4_21 => P(4)(21),
1048  P4_22 => P(4)(22),
1049  P4_23 => P(4)(23),
1050  P4_24 => P(4)(24),
1051  --
1052  P5_0 => P(5)(0),
1053  P5_1 => P(5)(1),
1054  P5_2 => P(5)(2),
1055  P5_3 => P(5)(3),
1056  P5_4 => P(5)(4),
1057  P5_5 => P(5)(5),
1058  P5_6 => P(5)(6),
1059  P5_7 => P(5)(7),
1060  P5_8 => P(5)(8),
1061  P5_9 => P(5)(9),
1062  P5_10 => P(5)(10),
1063  P5_11 => P(5)(11),
1064  P5_12 => P(5)(12),
1065  P5_13 => P(5)(13),
1066  P5_14 => P(5)(14),
1067  P5_15 => P(5)(15),
1068  P5_16 => P(5)(16),
1069  P5_17 => P(5)(17),
1070  P5_18 => P(5)(18),
1071  P5_19 => P(5)(19),
1072  P5_20 => P(5)(20),
1073  P5_21 => P(5)(21),
1074  P5_22 => P(5)(22),
1075  P5_23 => P(5)(23),
1076  P5_24 => P(5)(24),
1077  --
1078  P6_0 => P(6)(0),
1079  P6_1 => P(6)(1),
1080  P6_2 => P(6)(2),
1081  P6_3 => P(6)(3),
1082  P6_4 => P(6)(4),
1083  P6_5 => P(6)(5),
1084  P6_6 => P(6)(6),
1085  P6_7 => P(6)(7),
1086  P6_8 => P(6)(8),
1087  P6_9 => P(6)(9),
1088  P6_10 => P(6)(10),
1089  P6_11 => P(6)(11),
1090  P6_12 => P(6)(12),
1091  P6_13 => P(6)(13),
1092  P6_14 => P(6)(14),
1093  P6_15 => P(6)(15),
1094  P6_16 => P(6)(16),
1095  P6_17 => P(6)(17),
1096  P6_18 => P(6)(18),
1097  P6_19 => P(6)(19),
1098  P6_20 => P(6)(20),
1099  P6_21 => P(6)(21),
1100  P6_22 => P(6)(22),
1101  P6_23 => P(6)(23),
1102  P6_24 => P(6)(24),
1103  --
1104  P7_0 => P(7)(0),
1105  P7_1 => P(7)(1),
1106  P7_2 => P(7)(2),
1107  P7_3 => P(7)(3),
1108  P7_4 => P(7)(4),
1109  P7_5 => P(7)(5),
1110  P7_6 => P(7)(6),
1111  P7_7 => P(7)(7),
1112  P7_8 => P(7)(8),
1113  P7_9 => P(7)(9),
1114  P7_10 => P(7)(10),
1115  P7_11 => P(7)(11),
1116  P7_12 => P(7)(12),
1117  P7_13 => P(7)(13),
1118  P7_14 => P(7)(14),
1119  P7_15 => P(7)(15),
1120  P7_16 => P(7)(16),
1121  P7_17 => P(7)(17),
1122  P7_18 => P(7)(18),
1123  P7_19 => P(7)(19),
1124  P7_20 => P(7)(20),
1125  P7_21 => P(7)(21),
1126  P7_22 => P(7)(22),
1127  P7_23 => P(7)(23),
1128  P7_24 => P(7)(24),
1129  --
1130  P8_0 => P(8)(0),
1131  P8_1 => P(8)(1),
1132  P8_2 => P(8)(2),
1133  P8_3 => P(8)(3),
1134  P8_4 => P(8)(4),
1135  P8_5 => P(8)(5),
1136  P8_6 => P(8)(6),
1137  P8_7 => P(8)(7),
1138  P8_8 => P(8)(8),
1139  P8_9 => P(8)(9),
1140  P8_10 => P(8)(10),
1141  P8_11 => P(8)(11),
1142  P8_12 => P(8)(12),
1143  P8_13 => P(8)(13),
1144  P8_14 => P(8)(14),
1145  P8_15 => P(8)(15),
1146  P8_16 => P(8)(16),
1147  P8_17 => P(8)(17),
1148  P8_18 => P(8)(18),
1149  P8_19 => P(8)(19),
1150  P8_20 => P(8)(20),
1151  P8_21 => P(8)(21),
1152  P8_22 => P(8)(22),
1153  P8_23 => P(8)(23),
1154  P8_24 => P(8)(24),
1155  --
1156  P9_0 => P(9)(0),
1157  P9_1 => P(9)(1),
1158  P9_2 => P(9)(2),
1159  P9_3 => P(9)(3),
1160  P9_4 => P(9)(4),
1161  P9_5 => P(9)(5),
1162  P9_6 => P(9)(6),
1163  P9_7 => P(9)(7),
1164  P9_8 => P(9)(8),
1165  P9_9 => P(9)(9),
1166  P9_10 => P(9)(10),
1167  P9_11 => P(9)(11),
1168  P9_12 => P(9)(12),
1169  P9_13 => P(9)(13),
1170  P9_14 => P(9)(14),
1171  P9_15 => P(9)(15),
1172  P9_16 => P(9)(16),
1173  P9_17 => P(9)(17),
1174  P9_18 => P(9)(18),
1175  P9_19 => P(9)(19),
1176  P9_20 => P(9)(20),
1177  P9_21 => P(9)(21),
1178  P9_22 => P(9)(22),
1179  P9_23 => P(9)(23),
1180  P9_24 => P(9)(24),
1181  --
1182  P10_0 => P(10)(0),
1183  P10_1 => P(10)(1),
1184  P10_2 => P(10)(2),
1185  P10_3 => P(10)(3),
1186  P10_4 => P(10)(4),
1187  P10_5 => P(10)(5),
1188  P10_6 => P(10)(6),
1189  P10_7 => P(10)(7),
1190  P10_8 => P(10)(8),
1191  P10_9 => P(10)(9),
1192  P10_10 => P(10)(10),
1193  P10_11 => P(10)(11),
1194  P10_12 => P(10)(12),
1195  P10_13 => P(10)(13),
1196  P10_14 => P(10)(14),
1197  P10_15 => P(10)(15),
1198  P10_16 => P(10)(16),
1199  P10_17 => P(10)(17),
1200  P10_18 => P(10)(18),
1201  P10_19 => P(10)(19),
1202  P10_20 => P(10)(20),
1203  P10_21 => P(10)(21),
1204  P10_22 => P(10)(22),
1205  P10_23 => P(10)(23),
1206  P10_24 => P(10)(24),
1207  --
1208  P11_0 => P(11)(0),
1209  P11_1 => P(11)(1),
1210  P11_2 => P(11)(2),
1211  P11_3 => P(11)(3),
1212  P11_4 => P(11)(4),
1213  P11_5 => P(11)(5),
1214  P11_6 => P(11)(6),
1215  P11_7 => P(11)(7),
1216  P11_8 => P(11)(8),
1217  P11_9 => P(11)(9),
1218  P11_10 => P(11)(10),
1219  P11_11 => P(11)(11),
1220  P11_12 => P(11)(12),
1221  P11_13 => P(11)(13),
1222  P11_14 => P(11)(14),
1223  P11_15 => P(11)(15),
1224  P11_16 => P(11)(16),
1225  P11_17 => P(11)(17),
1226  P11_18 => P(11)(18),
1227  P11_19 => P(11)(19),
1228  P11_20 => P(11)(20),
1229  P11_21 => P(11)(21),
1230  P11_22 => P(11)(22),
1231  P11_23 => P(11)(23),
1232  P11_24 => P(11)(24),
1233  --
1234  P12_0 => P(12)(0),
1235  P12_1 => P(12)(1),
1236  P12_2 => P(12)(2),
1237  P12_3 => P(12)(3),
1238  P12_4 => P(12)(4),
1239  P12_5 => P(12)(5),
1240  P12_6 => P(12)(6),
1241  P12_7 => P(12)(7),
1242  P12_8 => P(12)(8),
1243  P12_9 => P(12)(9),
1244  P12_10 => P(12)(10),
1245  P12_11 => P(12)(11),
1246  P12_12 => P(12)(12),
1247  P12_13 => P(12)(13),
1248  P12_14 => P(12)(14),
1249  P12_15 => P(12)(15),
1250  P12_16 => P(12)(16),
1251  P12_17 => P(12)(17),
1252  P12_18 => P(12)(18),
1253  P12_19 => P(12)(19),
1254  P12_20 => P(12)(20),
1255  P12_21 => P(12)(21),
1256  P12_22 => P(12)(22),
1257  P12_23 => P(12)(23),
1258  P12_24 => P(12)(24),
1259  --
1260  P13_0 => P(13)(0),
1261  P13_1 => P(13)(1),
1262  P13_2 => P(13)(2),
1263  P13_3 => P(13)(3),
1264  P13_4 => P(13)(4),
1265  P13_5 => P(13)(5),
1266  P13_6 => P(13)(6),
1267  P13_7 => P(13)(7),
1268  P13_8 => P(13)(8),
1269  P13_9 => P(13)(9),
1270  P13_10 => P(13)(10),
1271  P13_11 => P(13)(11),
1272  P13_12 => P(13)(12),
1273  P13_13 => P(13)(13),
1274  P13_14 => P(13)(14),
1275  P13_15 => P(13)(15),
1276  P13_16 => P(13)(16),
1277  P13_17 => P(13)(17),
1278  P13_18 => P(13)(18),
1279  P13_19 => P(13)(19),
1280  P13_20 => P(13)(20),
1281  P13_21 => P(13)(21),
1282  P13_22 => P(13)(22),
1283  P13_23 => P(13)(23),
1284  P13_24 => P(13)(24),
1285  --
1286  P14_0 => P(14)(0),
1287  P14_1 => P(14)(1),
1288  P14_2 => P(14)(2),
1289  P14_3 => P(14)(3),
1290  P14_4 => P(14)(4),
1291  P14_5 => P(14)(5),
1292  P14_6 => P(14)(6),
1293  P14_7 => P(14)(7),
1294  P14_8 => P(14)(8),
1295  P14_9 => P(14)(9),
1296  P14_10 => P(14)(10),
1297  P14_11 => P(14)(11),
1298  P14_12 => P(14)(12),
1299  P14_13 => P(14)(13),
1300  P14_14 => P(14)(14),
1301  P14_15 => P(14)(15),
1302  P14_16 => P(14)(16),
1303  P14_17 => P(14)(17),
1304  P14_18 => P(14)(18),
1305  P14_19 => P(14)(19),
1306  P14_20 => P(14)(20),
1307  P14_21 => P(14)(21),
1308  P14_22 => P(14)(22),
1309  P14_23 => P(14)(23),
1310  P14_24 => P(14)(24),
1311  --
1312  P15_0 => P(15)(0),
1313  P15_1 => P(15)(1),
1314  P15_2 => P(15)(2),
1315  P15_3 => P(15)(3),
1316  P15_4 => P(15)(4),
1317  P15_5 => P(15)(5),
1318  P15_6 => P(15)(6),
1319  P15_7 => P(15)(7),
1320  P15_8 => P(15)(8),
1321  P15_9 => P(15)(9),
1322  P15_10 => P(15)(10),
1323  P15_11 => P(15)(11),
1324  P15_12 => P(15)(12),
1325  P15_13 => P(15)(13),
1326  P15_14 => P(15)(14),
1327  P15_15 => P(15)(15),
1328  P15_16 => P(15)(16),
1329  P15_17 => P(15)(17),
1330  P15_18 => P(15)(18),
1331  P15_19 => P(15)(19),
1332  P15_20 => P(15)(20),
1333  P15_21 => P(15)(21),
1334  P15_22 => P(15)(22),
1335  P15_23 => P(15)(23),
1336  P15_24 => P(15)(24),
1337  --
1340 
1341  BF_DEBUG_0 => open,
1342  BF_DEBUG_1 => open,
1343  BF_DEBUG_2 => open,
1344  BF_DEBUG_3 => open,
1345  BF_DEBUG_4 => open,
1346  BF_DEBUG_5 => open,
1347  BF_DEBUG_6 => open,
1348  BF_DEBUG_7 => open,
1349  BF_DEBUG_8 => open,
1350  BF_DEBUG_9 => open,
1351 
1352 
1353  BF_REQ_CTP_1_INPUT => open,
1354  BF_REQ_CTP_2_INPUT => open,
1355  BF_REQ_CABLE_1_INPUT => open,
1356  BF_REQ_CABLE_2_INPUT => open,
1357  BF_REQ_CABLE_3_INPUT => open,
1358  BF_LED_REQ_0 => open,
1359  BF_LED_REQ_1 => open,
1360  BF_LED_REQ_2 => open,
1361  BF_LED_REQ_3 => open,
1362  BF_LED_REQ_4 => open,
1363  BF_TO_FROM_BSPT_0 => open,
1364  BF_TO_FROM_BSPT_1 => open,
1365  BF_TO_FROM_BSPT_2 => open,
1366  BF_TO_FROM_BSPT_3 => open,
1367  BF_TO_FROM_BSPT_4 => open,
1368  BF_TO_FROM_BSPT_5 => open,
1369  BF_TO_FROM_BSPT_6 => open,
1370  BF_TO_FROM_BSPT_7 => open,
1371 
1372 
1373  BF_DOUT_CTP_00 => open,
1374  BF_DOUT_CTP_01 => open,
1375  BF_DOUT_CTP_02 => open,
1376  BF_DOUT_CTP_03 => open,
1377  BF_DOUT_CTP_04 => open,
1378  BF_DOUT_CTP_05 => open,
1379  BF_DOUT_CTP_06 => open,
1380  BF_DOUT_CTP_07 => open,
1381  BF_DOUT_CTP_08 => open,
1382  BF_DOUT_CTP_09 => open,
1383  BF_DOUT_CTP_10 => open,
1384  BF_DOUT_CTP_11 => open,
1385  BF_DOUT_CTP_12 => open,
1386  BF_DOUT_CTP_13 => open,
1387  BF_DOUT_CTP_14 => open,
1388  BF_DOUT_CTP_15 => open,
1389  BF_DOUT_CTP_16 => open,
1390  BF_DOUT_CTP_17 => open,
1391  BF_DOUT_CTP_18 => open,
1392  BF_DOUT_CTP_19 => open,
1393  BF_DOUT_CTP_20 => open,
1394  BF_DOUT_CTP_21 => open,
1395  BF_DOUT_CTP_22 => open,
1396  BF_DOUT_CTP_23 => open,
1397  BF_DOUT_CTP_24 => open,
1398  BF_DOUT_CTP_25 => open,
1399  BF_DOUT_CTP_26 => open,
1400  BF_DOUT_CTP_27 => open,
1401  BF_DOUT_CTP_28 => open,
1402  BF_DOUT_CTP_29 => open,
1403  BF_DOUT_CTP_30 => open,
1404  BF_DOUT_CTP_31 => open,
1405  BF_DOUT_CTP_64 => open,
1406 
1407  BF_DOUT_CTP_32 => open,
1408  BF_DOUT_CTP_33 => open,
1409  BF_DOUT_CTP_34 => open,
1410  BF_DOUT_CTP_35 => open,
1411  BF_DOUT_CTP_36 => open,
1412  BF_DOUT_CTP_37 => open,
1413  BF_DOUT_CTP_38 => open,
1414  BF_DOUT_CTP_39 => open,
1415  BF_DOUT_CTP_40 => open,
1416  BF_DOUT_CTP_41 => open,
1417  BF_DOUT_CTP_42 => open,
1418  BF_DOUT_CTP_43 => open,
1419  BF_DOUT_CTP_44 => open,
1420  BF_DOUT_CTP_45 => open,
1421  BF_DOUT_CTP_46 => open,
1422  BF_DOUT_CTP_47 => open,
1423  BF_DOUT_CTP_48 => open,
1424  BF_DOUT_CTP_49 => open,
1425  BF_DOUT_CTP_50 => open,
1426  BF_DOUT_CTP_51 => open,
1427  BF_DOUT_CTP_52 => open,
1428  BF_DOUT_CTP_53 => open,
1429  BF_DOUT_CTP_54 => open,
1430  BF_DOUT_CTP_55 => open,
1431  BF_DOUT_CTP_56 => open,
1432  BF_DOUT_CTP_57 => open,
1433  BF_DOUT_CTP_58 => open,
1434  BF_DOUT_CTP_59 => open,
1435  BF_DOUT_CTP_60 => open,
1436  BF_DOUT_CTP_61 => open,
1437  BF_DOUT_CTP_62 => open,
1438  BF_DOUT_CTP_63 => open,
1439  BF_DOUT_CTP_65 => open,
1440 
1441  D_CBL_00_B => open,
1442  D_CBL_01_B => open,
1443  D_CBL_02_B => open,
1444  D_CBL_03_B => open,
1445  D_CBL_04_B => open,
1446  D_CBL_05_B => open,
1447  D_CBL_06_B => open,
1448  D_CBL_07_B => open,
1449  D_CBL_08_B => open,
1450  D_CBL_09_B => open,
1451  D_CBL_10_B => open,
1452  D_CBL_11_B => open,
1453  D_CBL_12_B => open,
1454  D_CBL_13_B => open,
1455  D_CBL_14_B => open,
1456  D_CBL_15_B => open,
1457  D_CBL_16_B => open,
1458  D_CBL_17_B => open,
1459  D_CBL_18_B => open,
1460  D_CBL_19_B => open,
1461  D_CBL_20_B => open,
1462  D_CBL_21_B => open,
1463  D_CBL_22_B => open,
1464  D_CBL_23_B => open,
1465  D_CBL_24_B => open,
1466  D_CBL_25_B => open,
1467  D_CBL_26_B => open,
1468  D_CBL_81_B => open,
1469 
1470  D_CBL_27_B => open,
1471  D_CBL_28_B => open,
1472  D_CBL_29_B => open,
1473  D_CBL_30_B => open,
1474  D_CBL_31_B => open,
1475  D_CBL_32_B => open,
1476  D_CBL_33_B => open,
1477  D_CBL_34_B => open,
1478  D_CBL_35_B => open,
1479  D_CBL_36_B => open,
1480  D_CBL_37_B => open,
1481  D_CBL_38_B => open,
1482  D_CBL_39_B => open,
1483  D_CBL_40_B => open,
1484  D_CBL_41_B => open,
1485  D_CBL_42_B => open,
1486  D_CBL_43_B => open,
1487  D_CBL_44_B => open,
1488  D_CBL_45_B => open,
1489  D_CBL_46_B => open,
1490  D_CBL_47_B => open,
1491  D_CBL_48_B => open,
1492  D_CBL_49_B => open,
1493  D_CBL_50_B => open,
1494  D_CBL_51_B => open,
1495  D_CBL_52_B => open,
1496  D_CBL_53_B => open,
1497  D_CBL_82_B => open,
1498 
1499  D_CBL_54_B => open,
1500  D_CBL_55_B => open,
1501  D_CBL_56_B => open,
1502  D_CBL_57_B => open,
1503  D_CBL_58_B => open,
1504  D_CBL_59_B => open,
1505  D_CBL_60_B => open,
1506  D_CBL_61_B => open,
1507  D_CBL_62_B => open,
1508  D_CBL_63_B => open,
1509  D_CBL_64_B => open,
1510  D_CBL_65_B => open,
1511  D_CBL_66_B => open,
1512  D_CBL_67_B => open,
1513  D_CBL_68_B => open,
1514  D_CBL_69_B => open,
1515  D_CBL_70_B => open,
1516  D_CBL_71_B => open,
1517  D_CBL_72_B => open,
1518  D_CBL_73_B => open,
1519  D_CBL_74_B => open,
1520  D_CBL_75_B => open,
1521  D_CBL_76_B => open,
1522  D_CBL_77_B => open,
1523  D_CBL_78_B => open,
1524  D_CBL_79_B => open,
1525  D_CBL_80_B => open,
1526  D_CBL_83_B => open,
1527 
1532 
1535 
1538  BF_DAQ_DATA_OUT_DIR => open,
1539  BF_DAQ_DATA_OUT_CMP => open,
1540  BF_ROI_DATA_OUT_DIR => open,
1541  BF_ROI_DATA_OUT_CMP => open
1542 
1543  );
1544 
1545  -- Clock process definitions
1547  begin
1550  wait for clk120_period/2;
1553  wait for clk120_period/2;
1554  end process;
1555 
1556 
1557  -- Clock process definitions
1558  clk_process :process
1559  begin
1560  clk40_DIR <= '0';
1561  clk40_CMP <= '1';
1562  wait for clk40_DIR_period/2;
1563  clk40_DIR <= '1';
1564  clk40_CMP <= '0';
1565  wait for clk40_DIR_period/2;
1566  end process;
1567 
1568 
1569  -- Clock process definitions
1571  begin
1572  WAIT for 3.125 ns;
1573  CLOCK_LOOP : LOOP
1574  clk160 <= '0';
1575  WAIT FOR clk160_period/2;
1576  clk160 <= '1';
1577  WAIT FOR clk160_period/2;
1578  END LOOP CLOCK_LOOP;
1579  end process;
1580 
1581 
1582  -- Clock process definitions
1584  begin
1585  WAIT for 9.375 ns;
1586  CLOCK_LOOP : LOOP
1587  clk80 <= '0';
1588  WAIT FOR clk80_period/2;
1589  clk80 <= '1';
1590  WAIT FOR clk80_period/2;
1591  END LOOP CLOCK_LOOP;
1592  end process;
1593 
1594 
1595  clock_gen: for i in 0 to 15 generate
1596  P(i)(24) <= clk80;
1597  end generate;
1598 
1599  --strobe for vme the fixed vme write so reset is '0'
1600  zeros<=(others=>'0');
1602  begin
1603 
1604  OCB_DS_B<='1';
1605  OCB_A_BOARD_ADDR<=x"70";
1606 
1607  OCB_A_LOCAL_ADDR<=std_logic_vector(to_unsigned(ADDR_REG_RW_INPUT_MOD_RESET,16));
1608  OCB_D<=(others=>'0');
1609  wait for 500 ns;
1610  OCB_DS_B<='0';
1611  wait for 100 ns;
1612  OCB_DS_B<='1';
1613  wait for 100 ns;
1614 
1615  OCB_A_LOCAL_ADDR<=std_logic_vector(to_unsigned(ADDR_REG_RW_DAQ_SLICE,16));
1616  OCB_D<=(others=>'0');
1617  wait for 500 ns;
1618  OCB_DS_B<='0';
1619  wait for 100 ns;
1620  OCB_DS_B<='1';
1621  wait for 100 ns;
1622 
1623  OCB_A_LOCAL_ADDR<=std_logic_vector(to_unsigned(ADDR_REG_RW_DAQ_RAM_OFFSET,16));
1624  OCB_D<=std_logic_vector(to_unsigned(50,16));
1625  wait for 500 ns;
1626  OCB_DS_B<='0';
1627  wait for 100 ns;
1628  OCB_DS_B<='1';
1629  wait for 100 ns;
1630 
1631  OCB_A_LOCAL_ADDR<=std_logic_vector(to_unsigned(ADDR_REG_RW_BCID_RESET_VAL,16));
1632  OCB_D<=std_logic_vector(to_unsigned(350,16));
1633  wait for 500 ns;
1634  OCB_DS_B<='0';
1635  wait for 100 ns;
1636  OCB_DS_B<='1';
1637  wait for 100 ns;
1638 
1639 
1640 
1641 
1642  wait;
1643  end process strobe_process;
1644 
1645  -- Stimulus process
1646  stim_proc: process
1647  begin
1648  l1a<='0';
1649  BUF_TTC_BNCH_CNT_RES<='0';
1650  wait for 55000 ns;
1651  wait until rising_edge(clk40_DIR);
1652  BUF_TTC_BNCH_CNT_RES<='1';
1653  wait until rising_edge(clk40_DIR);
1654  BUF_TTC_BNCH_CNT_RES<='0';
1655  wait for 500 ns;
1656  wait until rising_edge(clk40_DIR);
1657  l1a <= '1';
1658  wait until rising_edge(clk40_DIR);
1659  l1a<='0';
1660  wait until rising_edge(clk40_DIR);
1661  wait until rising_edge(clk40_DIR);
1662  wait until rising_edge(clk40_DIR);
1663  wait until rising_edge(clk40_DIR);
1664  wait until rising_edge(clk40_DIR);
1665  wait until rising_edge(clk40_DIR);
1666  l1a <= '1';
1667  wait until rising_edge(clk40_DIR);
1668  l1a<='0';
1669 
1670  wait;
1671  end process stim_proc;
1672 
1673  -- Test Bench Statements, JEM0
1674  INPUT_JEM0:
1675  PROCESS
1676  BEGIN
1677  WAIT for 55000 ns;
1678 
1679  --
1680  -- Add user defined stimulus here
1681  while true -- not endfile(data_jem0)
1682  loop
1683  WAIT UNTIL (CLK160='1' AND CLK160'event);
1684  readline(data_jem0,fileline_jem0);
1685  read(fileline_jem0,dstring(0));
1686  P(0)(23 downto 0) <= str_to_stdvec(dstring(0)(24 downto 1));
1687  end loop;
1688  file_close(data_jem0);
1689  --
1690  wait; -- will wait forever
1691  END PROCESS INPUT_JEM0;
1692 
1693 
1694 
1695 
1696  -- Test Bench Statements, JEM1
1697  INPUT_JEM1:
1698  PROCESS
1699  BEGIN
1700  WAIT for 55000 ns;
1701  --
1702  -- Add user defined stimulus here
1703  while true -- not endfile(data_jem1)
1704  loop
1705  WAIT UNTIL (CLK160='1' AND CLK160'event);
1706  readline(data_jem1,fileline_jem1);
1707  read(fileline_jem1,dstring(1));
1708  P(1)(23 downto 0) <= str_to_stdvec(dstring(1)(24 downto 1));
1709  end loop;
1710  file_close(data_jem1);
1711  --
1712  wait; -- will wait forever
1713  END PROCESS INPUT_JEM1;
1714 
1715 
1716  -- Test Bench Statements, JEM2
1717  INPUT_JEM2:
1718  PROCESS
1719  BEGIN
1720  WAIT for 55000 ns;
1721  --
1722  -- Add user defined stimulus here
1723  while true -- not endfile(data_jem2)
1724  loop
1725  WAIT UNTIL (CLK160='1' AND CLK160'event);
1726  readline(data_jem1,fileline_jem2);
1727  read(fileline_jem2,dstring(2));
1728  P(2)(23 downto 0) <= str_to_stdvec(dstring(2)(24 downto 1));
1729  end loop;
1730  file_close(data_jem2);
1731  --
1732  wait; -- will wait forever
1733  END PROCESS INPUT_JEM2;
1734 
1735 
1736 
1737  -- Test Bench Statements, JEM3
1738  INPUT_JEM3:
1739  PROCESS
1740  BEGIN
1741  WAIT for 55000 ns;
1742  --
1743  -- Add user defined stimulus here
1744  while true -- not endfile(data_jem3)
1745  loop
1746  WAIT UNTIL (CLK160='1' AND CLK160'event);
1747  readline(data_jem3,fileline_jem3);
1748  read(fileline_jem3,dstring(3));
1749  P(3)(23 downto 0) <= str_to_stdvec(dstring(3)(24 downto 1));
1750  end loop;
1751  file_close(data_jem3);
1752  --
1753  wait; -- will wait forever
1754  END PROCESS INPUT_JEM3;
1755 
1756 
1757  -- Test Bench Statements, JEM4
1758  INPUT_JEM4:
1759  PROCESS
1760  BEGIN
1761  WAIT for 55000 ns;
1762  --
1763  -- Add user defined stimulus here
1764  while true -- not endfile(data_jem4)
1765  loop
1766  WAIT UNTIL (CLK160='1' AND CLK160'event);
1767  readline(data_jem4,fileline_jem4);
1768  read(fileline_jem4,dstring(4));
1769  P(4)(23 downto 0) <= str_to_stdvec(dstring(4)(24 downto 1));
1770  end loop;
1771  file_close(data_jem4);
1772  --
1773  wait; -- will wait forever
1774  END PROCESS INPUT_JEM4;
1775 
1776 
1777  -- Test Bench Statements, JEM5
1778  INPUT_JEM5:
1779  PROCESS
1780  BEGIN
1781  WAIT for 55000 ns;
1782  --
1783  -- Add user defined stimulus here
1784  while true -- not endfile(data_jem5)
1785  loop
1786  WAIT UNTIL (CLK160='1' AND CLK160'event);
1787  readline(data_jem5,fileline_jem5);
1788  read(fileline_jem5,dstring(5));
1789  P(5)(23 downto 0) <= str_to_stdvec(dstring(5)(24 downto 1));
1790  end loop;
1791  file_close(data_jem5);
1792  --
1793  wait; -- will wait forever
1794  END PROCESS INPUT_JEM5;
1795 
1796 
1797  -- Test Bench Statements, JEM6
1798  INPUT_JEM6:
1799  PROCESS
1800  BEGIN
1801  WAIT for 55000 ns;
1802  --
1803  -- Add user defined stimulus here
1804  while true -- not endfile(data_jem6)
1805  loop
1806  WAIT UNTIL (CLK160='1' AND CLK160'event);
1807  readline(data_jem6,fileline_jem6);
1808  read(fileline_jem6,dstring(6));
1809  P(6)(23 downto 0) <= str_to_stdvec(dstring(6)(24 downto 1));
1810  end loop;
1811  file_close(data_jem6);
1812  --
1813  wait; -- will wait forever
1814  END PROCESS INPUT_JEM6;
1815 
1816 
1817  -- Test Bench Statements, JEM7
1818  INPUT_JEM7:
1819  PROCESS
1820  BEGIN
1821  WAIT for 55000 ns;
1822  --
1823  -- Add user defined stimulus here
1824  while true -- not endfile(data_jem7)
1825  loop
1826  WAIT UNTIL (CLK160='1' AND CLK160'event);
1827  readline(data_jem7,fileline_jem7);
1828  read(fileline_jem7,dstring(7));
1829  P(7)(23 downto 0) <= str_to_stdvec(dstring(7)(24 downto 1));
1830  end loop;
1831  file_close(data_jem7);
1832  --
1833  wait; -- will wait forever
1834  END PROCESS INPUT_JEM7;
1835 
1836 
1837  -- Test Bench Statements, JEM8
1838  INPUT_JEM8:
1839  PROCESS
1840  BEGIN
1841  WAIT for 55000 ns;
1842  --
1843  -- Add user defined stimulus here
1844  while true -- not endfile(data_jem8)
1845  loop
1846  WAIT UNTIL (CLK160='1' AND CLK160'event);
1847  readline(data_jem8,fileline_jem8);
1848  read(fileline_jem8,dstring(8));
1849  P(8)(23 downto 0) <= str_to_stdvec(dstring(8)(24 downto 1));
1850  end loop;
1851  file_close(data_jem8);
1852  --
1853  wait; -- will wait forever
1854  END PROCESS INPUT_JEM8;
1855 
1856 
1857  -- Test Bench Statements, JEM1
1858  INPUT_JEM9:
1859  PROCESS
1860  BEGIN
1861  --
1862  -- Add user defined stimulus here
1863  while true -- not endfile(data_jem9)
1864  loop
1865  WAIT UNTIL (CLK160='1' AND CLK160'event);
1866  readline(data_jem9,fileline_jem9);
1867  read(fileline_jem9,dstring(9));
1868  P(9)(23 downto 0) <= str_to_stdvec(dstring(9)(24 downto 1));
1869  end loop;
1870  file_close(data_jem9);
1871  --
1872  wait; -- will wait forever
1873  END PROCESS INPUT_JEM9;
1874 
1875 
1876  -- Test Bench Statements, JEM10
1877  INPUT_JEM10:
1878  PROCESS
1879  BEGIN
1880  WAIT for 55000 ns;
1881  --
1882  -- Add user defined stimulus here
1883  while true -- not endfile(data_jem10)
1884  loop
1885  WAIT UNTIL (CLK160='1' AND CLK160'event);
1886  readline(data_jem10,fileline_jem10);
1887  read(fileline_jem10,dstring(10));
1888  P(10)(23 downto 0) <= str_to_stdvec(dstring(10)(24 downto 1));
1889  end loop;
1890  file_close(data_jem10);
1891  --
1892  wait; -- will wait forever
1893  END PROCESS INPUT_JEM10;
1894 
1895 
1896  -- Test Bench Statements, JEM11
1897  INPUT_JEM11:
1898  PROCESS
1899  BEGIN
1900  WAIT for 55000 ns;
1901  --
1902  -- Add user defined stimulus here
1903  while true -- not endfile(data_jem11)
1904  loop
1905  WAIT UNTIL (CLK160='1' AND CLK160'event);
1906  readline(data_jem11,fileline_jem11);
1907  read(fileline_jem11,dstring(11));
1908  P(11)(23 downto 0) <= str_to_stdvec(dstring(11)(24 downto 1));
1909  end loop;
1910  file_close(data_jem11);
1911  --
1912  wait; -- will wait forever
1913  END PROCESS INPUT_JEM11;
1914 
1915 
1916  -- Test Bench Statements, JEM1
1917  INPUT_JEM12:
1918  PROCESS
1919  BEGIN
1920  WAIT for 55000 ns;
1921  --
1922  -- Add user defined stimulus here
1923  while true -- not endfile(data_jem12)
1924  loop
1925  WAIT UNTIL (CLK160='1' AND CLK160'event);
1926  readline(data_jem12,fileline_jem12);
1927  read(fileline_jem12,dstring(12));
1928  P(12)(23 downto 0) <= str_to_stdvec(dstring(12)(24 downto 1));
1929  end loop;
1930  file_close(data_jem12);
1931  --
1932  wait; -- will wait forever
1933  END PROCESS INPUT_JEM12;
1934 
1935 
1936  -- Test Bench Statements, JEM13
1937  INPUT_JEM13:
1938  PROCESS
1939  BEGIN
1940  WAIT for 55000 ns;
1941  --
1942  -- Add user defined stimulus here
1943  while true -- not endfile(data_jem13)
1944  loop
1945  WAIT UNTIL (CLK160='1' AND CLK160'event);
1946  readline(data_jem13,fileline_jem13);
1947  read(fileline_jem13,dstring(13));
1948  P(13)(23 downto 0) <= str_to_stdvec(dstring(13)(24 downto 1));
1949  end loop;
1950  file_close(data_jem13);
1951  --
1952  wait; -- will wait forever
1953  END PROCESS INPUT_JEM13;
1954 
1955  -- Test Bench Statements, JEM14
1956  INPUT_JEM14:
1957  PROCESS
1958  BEGIN
1959  WAIT for 55000 ns;
1960  --
1961  -- Add user defined stimulus here
1962  while true -- not endfile(data_jem14)
1963  loop
1964  WAIT UNTIL (CLK160='1' AND CLK160'event);
1965  readline(data_jem14,fileline_jem14);
1966  read(fileline_jem14,dstring(14));
1967  P(14)(23 downto 0) <= str_to_stdvec(dstring(14)(24 downto 1));
1968  end loop;
1969  file_close(data_jem14);
1970  --
1971  wait; -- will wait forever
1972  END PROCESS INPUT_JEM14;
1973 
1974 
1975  -- Test Bench Statements, JEM15
1976  INPUT_JEM15:
1977  PROCESS
1978  BEGIN
1979  WAIT for 55000 ns;
1980  --
1981  -- Add user defined stimulus here
1982  while true -- not endfile(data_jem15)
1983  loop
1984  WAIT UNTIL (CLK160='1' AND CLK160'event);
1985  readline(data_jem15,fileline_jem15);
1986  read(fileline_jem15,dstring(15));
1987  P(15)(23 downto 0) <= str_to_stdvec(dstring(15)(24 downto 1));
1988  end loop;
1989  file_close(data_jem15);
1990  --
1991  wait; -- will wait forever
1992  END PROCESS INPUT_JEM15;
1993 
1994 
1995 -- End Test Bench
1996 
1997 END;
1998 
1999 
2000 -- PROCESS -- clock process for USR_CLK
2001 -- BEGIN
2002 -- WAIT for OFFSET;
2003 -- CLOCK_LOOP : LOOP
2004 -- USR_CLK <= '0';
2005 -- WAIT FOR (PERIOD_USR_CLK - (PERIOD_USR_CLK * DUTY_CYCLE));
2006 -- USR_CLK <= '1';
2007 -- WAIT FOR (PERIOD_USR_CLK * DUTY_CYCLE);
2008 -- END LOOP CLOCK_LOOP;
2009 -- END PROCESS;
2010 
2011 
2012 -- -- Trigger Output
2013 -- tb_trigout:
2014 -- PROCESS(USR_CLK)
2015 -- BEGIN
2016 -- if (USR_CLK='1' AND USR_CLK'EVENT) then
2017 -- if TRG_OUT='1' then
2018 -- write(trigsave, HT); -- put the tab
2019 -- write(trigsave,slv2int(EDEP(0,1)));
2020 -- writeline(data_trig_out,trigsave);
2021 -- end if;
2022 -- end if;
2023 -- END PROCESS tb_trigout;
2024 --
in P6_5std_logic
out BF_DOUT_CTP_41std_logic
in P3_21std_logic
in P9_17std_logic
in P1_7std_logic
in P12_4std_logic
in P3_6std_logic
in P11_20std_logic
out D_CBL_48_Bstd_logic
in P6_24std_logic
out BF_DOUT_CTP_01std_logic
in P13_17std_logic
in P10_16std_logic
in P14_21std_logic
in P11_18std_logic
out BF_TO_FROM_BSPT_2std_logic
time :=6.238 ns CLK160_period
out BF_DAQ_DATA_OUT_CMPstd_logic
in OCB_A10std_logic
in CLK_120MHz000_XTAL_1_BF_TRNCV_DIRstd_logic
in P11_7std_logic
in P14_13std_logic
out D_CBL_74_Bstd_logic
in P1_21std_logic
in P7_20std_logic
out D_CBL_32_Bstd_logic
in BF_TO_TP_ROI_SLINK_RETURN_CMPstd_logic
out BF_TO_FROM_BSPT_3std_logic
in P5_10std_logic
in P7_10std_logic
in P2_14std_logic
in P1_2std_logic
in P9_3std_logic
in P1_10std_logic
out D_CBL_42_Bstd_logic
in P1_19std_logic
in P4_12std_logic
in P7_5std_logic
in P12_6std_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test15.txt" data_jem15
out BF_LED_REQ_4std_logic
in P8_24std_logic
in OCB_A19std_logic
in BF_TO_FROM_BSPT_0std_logic
out D_CBL_17_Bstd_logic
in P7_18std_logic
in P6_15std_logic
out BF_DOUT_CTP_61std_logic
in P3_14std_logic
in P4_21std_logic
in OCB_A21std_logic
in P1_11std_logic
out D_CBL_64_Bstd_logic
in P5_13std_logic
in P6_19std_logic
out BF_DOUT_CTP_34std_logic
in P15_5std_logic
in P5_6std_logic
in P9_10std_logic
out BF_DOUT_CTP_32std_logic
out D_CBL_81_Bstd_logic
in P11_3std_logic
in P6_4std_logic
in P9_7std_logic
in P9_12std_logic
in P13_18std_logic
out D_CBL_67_Bstd_logic
in P7_9std_logic
in P0_6std_logic
in P6_1std_logic
in P10_5std_logic
string (23 downto 1) memstring
Definition: trig_sim.vhd:192
in P1_4std_logic
in P13_20std_logic
in D_CBL_24_Bstd_logic
out D_CBL_28_Bstd_logic
out BF_TO_FROM_BSPT_6std_logic
in P8_19std_logic
std_logic_vector INT2SLV16val,
in P6_16std_logic
out BF_LED_REQ_1std_logic
in P11_0std_logic
in P5_21std_logic
out BF_DEBUG_2std_logic
in P5_8std_logic
out BF_DOUT_CTP_21std_logic
in P7_21std_logic
out D_CBL_79_Bstd_logic
in P1_8std_logic
out D_CBL_59_Bstd_logic
in P6_0std_logic
out BF_DOUT_CTP_46std_logic
in P2_18std_logic
in P10_23std_logic
out D_CBL_38_Bstd_logic
in P11_8std_logic
out BF_DOUT_CTP_04std_logic
in P2_15std_logic
in OCB_A09std_logic
in P8_9std_logic
out BF_DOUT_CTP_65std_logic
in P3_11std_logic
in P11_1std_logic
out BF_DOUT_CTP_55std_logic
in P11_23std_logic
in P0_8std_logic
in P9_6std_logic
in P4_20std_logic
in P12_12std_logic
out BF_DOUT_CTP_19std_logic
in P1_16std_logic
in OCB_A14std_logic
in P3_23std_logic
in OCB_DS_Bstd_logic
in OCB_A11std_logic
in P6_21std_logic
out BF_DOUT_CTP_14std_logic
out BF_DOUT_CTP_47std_logic
in D_CBL_39_Bstd_logic
in P4_18std_logic
in P9_2std_logic
in P4_14std_logic
out D_CBL_27_Bstd_logic
in P10_18std_logic
in P9_21std_logic
in P15_18std_logic
in OCB_A15std_logic
in P8_21std_logic
time :=12.476 ns CLK80_period
in P2_1std_logic
out D_CBL_06_Bstd_logic
in P14_17std_logic
out BF_LED_REQ_2std_logic
in P7_6std_logic
in P9_13std_logic
in BF_TO_TP_DAQ_SLINK_RETURN_DIRstd_logic
in P9_18std_logic
out D_CBL_76_Bstd_logic
in P10_11std_logic
out D_CBL_01_Bstd_logic
in P14_9std_logic
in P3_16std_logic
in P4_13std_logic
out BF_LED_REQ_0std_logic
in P2_6std_logic
in P13_6std_logic
out BF_DOUT_CTP_00std_logic
in P15_19std_logic
in P6_11std_logic
in P1_20std_logic
in P15_15std_logic
in D_CBL_20_Bstd_logic
in P14_6std_logic
in P3_15std_logic
in P5_4std_logic
in P4_17std_logic
in P1_18std_logic
in P5_2std_logic
out D_CBL_58_Bstd_logic
out BF_DOUT_CTP_49std_logic
in P14_10std_logic
in P7_7std_logic
in P12_23std_logic
in P10_15std_logic
out BF_DEBUG_7std_logic
in P9_11std_logic
in P0_11std_logic
out BF_DOUT_CTP_64std_logic
in P7_3std_logic
in P1_1std_logic
in P5_14std_logic
in P14_7std_logic
in P2_19std_logic
in P8_16std_logic
out D_CBL_21_Bstd_logic
out D_CBL_04_Bstd_logic
in P12_24std_logic
out BF_ROI_DATA_OUT_DIRstd_logic
in P0_18std_logic
in P15_0std_logic
in P2_3std_logic
in P5_24std_logic
in P15_2std_logic
in P12_19std_logic
in P8_8std_logic
in P6_7std_logic
in P12_0std_logic
in P12_17std_logic
in P13_9std_logic
out D_CBL_80_Bstd_logic
in P14_12std_logic
in P12_2std_logic
out D_CBL_29_Bstd_logic
out D_CBL_57_Bstd_logic
out BF_DOUT_CTP_05std_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test14.txt" data_jem14
out BF_DEBUG_4std_logic
out D_CBL_14_Bstd_logic
in P10_6std_logic
out BF_DOUT_CTP_50std_logic
in P1_0std_logic
in P12_9std_logic
in P8_20std_logic
in P13_2std_logic
in P13_4std_logic
in P11_6std_logic
in P8_1std_logic
in P0_15std_logic
in P12_11std_logic
in P3_22std_logic
in P3_2std_logic
out BF_DOUT_CTP_57std_logic
in P14_1std_logic
out D_CBL_25_Bstd_logic
in P10_19std_logic
out BF_DOUT_CTP_42std_logic
in P3_13std_logic
in P15_24std_logic
in P9_22std_logic
in OCB_A12std_logic
in P3_4std_logic
in P6_18std_logic
in P3_0std_logic
std_logic :='0' CLK_120MHz000_XTAL_1_BF_TRNCV_DIR
in P2_17std_logic
in P2_13std_logic
in OCB_A07std_logic
in P10_9std_logic
out BF_DOUT_CTP_51std_logic
out D_CBL_33_Bstd_logic
out BF_DOUT_CTP_54std_logic
in OCB_A03std_logic
in BF_TO_FROM_BSPT_1std_logic
in OCB_A22std_logic
out BF_DOUT_CTP_45std_logic
in P4_22std_logic
in P10_10std_logic
_library_ workwork
in P12_20std_logic
in P14_8std_logic
time :=8.334 ns CLK120_period
in P0_10std_logic
in P6_14std_logic
in P5_16std_logic
in P3_8std_logic
in P13_19std_logic
out BF_DOUT_CTP_60std_logic
in P4_19std_logic
in P4_23std_logic
out BF_DOUT_CTP_07std_logic
out BF_TO_FROM_BSPT_5std_logic
in P11_2std_logic
in P2_0std_logic
out D_CBL_07_Bstd_logic
in P15_10std_logic
out D_CBL_62_Bstd_logic
in P12_3std_logic
in P13_24std_logic
in OCB_A16std_logic
in P7_2std_logic
in P1_5std_logic
in P4_24std_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test9.txt" data_jem9
in P12_8std_logic
in P2_24std_logic
in P4_9std_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test3.txt" data_jem3
out BF_DOUT_CTP_17std_logic
out D_CBL_09_Bstd_logic
in P7_17std_logic
out BF_DOUT_CTP_31std_logic
out BF_DOUT_CTP_38std_logic
out D_CBL_22_Bstd_logic
in P1_24std_logic
out BF_DOUT_CTP_37std_logic
in P10_14std_logic
in P1_23std_logic
in P11_10std_logic
out D_CBL_83_Bstd_logic
in P6_3std_logic
out BF_DEBUG_8std_logic
out BF_DOUT_CTP_29std_logic
out BF_REQ_CABLE_3_INPUTstd_logic
out D_CBL_82_Bstd_logic
out BF_DOUT_CTP_35std_logic
out D_CBL_69_Bstd_logic
in P3_1std_logic
out BF_DOUT_CTP_26std_logic
in P14_4std_logic
out BF_DOUT_CTP_39std_logic
in P4_15std_logic
in P1_22std_logic
out BF_DOUT_CTP_23std_logic
in P15_23std_logic
in P6_8std_logic
in P5_0std_logic
in P1_15std_logic
out BF_DOUT_CTP_16std_logic
in P4_8std_logic
in P4_4std_logic
in P3_7std_logic
in P5_11std_logic
in P10_12std_logic
in P5_18std_logic
out D_CBL_03_Bstd_logic
in P10_13std_logic
in P0_13std_logic
in P8_3std_logic
in P0_19std_logic
out D_CBL_54_Bstd_logic
in P7_0std_logic
out D_CBL_30_Bstd_logic
in P3_10std_logic
in P12_7std_logic
in P7_15std_logic
in P3_24std_logic
in P13_22std_logic
in P14_5std_logic
out D_CBL_23_Bstd_logic
out D_CBL_73_Bstd_logic
in P0_17std_logic
in P15_20std_logic
in P4_10std_logic
in P11_14std_logic
in P2_11std_logic
std_logic_vector str_to_stdvecinp,
in P9_4std_logic
in P5_7std_logic
out BF_DOUT_CTP_28std_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test7.txt" data_jem7
in P7_16std_logic
time :=12.5 ns PERIOD_USR_CLK
Definition: trig_sim.vhd:199
in P11_19std_logic
in P0_1std_logic
in P15_12std_logic
in P2_23std_logic
in D_CBL_08_Bstd_logic
in OCB_A05std_logic
in P2_22std_logic
in P2_21std_logic
in P8_15std_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test4.txt" data_jem4
in P1_17std_logic
in P12_18std_logic
in P8_6std_logic
out BF_DOUT_CTP_24std_logic
in BF_TO_TP_DAQ_SLINK_RETURN_CMPstd_logic
in P3_5std_logic
in P4_6std_logic
in P14_14std_logic
out D_CBL_78_Bstd_logic
in P13_23std_logic
in OCB_A18std_logic
out BF_DOUT_CTP_53std_logic
in P15_16std_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test5.txt" data_jem5
TEXT open READ_MODE is "../../Jet_common/trunk/data/test10.txt" data_jem10
in P15_14std_logic
in P13_0std_logic
in P7_14std_logic
out BF_REQ_CABLE_1_INPUTstd_logic
in P11_16std_logic
in OCB_A17std_logic
in OCB_A23std_logic
in OCB_A01std_logic
in P9_20std_logic
in P0_7std_logic
out D_CBL_15_Bstd_logic
in P0_22std_logic
in P14_20std_logic
in CLK_120MHz000_XTAL_1_BF_TRNCV_CMPstd_logic
in P8_13std_logic
out BF_DOUT_CTP_18std_logic
out BF_DOUT_CTP_06std_logic
out BF_DOUT_CTP_27std_logic
out BF_DOUT_CTP_43std_logic
mat_var (numactchan - 1 downto 0) :=( others =>( others =>'0' ) ) P
out D_CBL_49_Bstd_logic
time :=24.952 ns CLK40_DIR_period
in P3_19std_logic
in P2_16std_logic
in P9_14std_logic
out D_CBL_11_Bstd_logic
in P2_7std_logic
in P12_10std_logic
in P10_24std_logic
in P0_0std_logic
in P9_1std_logic
in P11_5std_logic
in P14_16std_logic
out D_CBL_34_Bstd_logic
out BF_DOUT_CTP_58std_logic
in P8_2std_logic
out BF_DOUT_CTP_10std_logic
in OCB_SYS_RESET_Bstd_logic
in P10_1std_logic
in P7_22std_logic
in P15_4std_logic
out D_CBL_70_Bstd_logic
in P3_3std_logic
out BF_DOUT_CTP_03std_logic
out D_CBL_65_Bstd_logic
in P14_22std_logic
in BUF_TTC_BNCH_CNT_RESstd_logic
out BF_DEBUG_9std_logic
in P12_22std_logic
out D_CBL_51_Bstd_logic
in P6_22std_logic
in P11_22std_logic
in P13_15std_logic
in P10_8std_logic
out D_CBL_72_Bstd_logic
out D_CBL_00_Bstd_logic
out BF_DEBUG_5std_logic
in P11_21std_logic
in P12_16std_logic
in P9_16std_logic
in P0_21std_logic
out D_CBL_77_Bstd_logic
out D_CBL_41_Bstd_logic
in P1_6std_logic
in P13_8std_logic
out D_CBL_53_Bstd_logic
in P15_13std_logic
in P8_5std_logic
out BF_DEBUG_0std_logic
in P3_20std_logic
in P10_21std_logic
in P11_12std_logic
in OCB_A08std_logic
out BF_REQ_CTP_1_INPUTstd_logic
out BF_LED_REQ_3std_logic
std_logic :='0' CLK_120MHz000_XTAL_1_BF_TRNCV_CMP
out BF_TO_FROM_BSPT_7std_logic
in P4_11std_logic
out BF_DOUT_CTP_25std_logic
out D_CBL_63_Bstd_logic
std_logic_vector (23 downto 0) OCB_A
in P14_24std_logic
in P14_18std_logic
in P7_23std_logic
in P5_12std_logic
in P13_11std_logic
in P2_10std_logic
in P3_18std_logic
in P3_12std_logic
in P8_17std_logic
out BF_DOUT_CTP_20std_logic
in P13_5std_logic
in P13_14std_logic
in P11_11std_logic
in OCB_A06std_logic
out D_CBL_05_Bstd_logic
in P1_9std_logic
in P9_9std_logic
in P15_6std_logic
in P0_16std_logic
in P11_4std_logic
std_logic_vector INT2SLVval,size,
in P14_3std_logic
out BF_DOUT_CTP_30std_logic
in P13_13std_logic
in P4_1std_logic
std_logic_vector (15 downto 0) OCB_D
in P0_5std_logic
in P6_6std_logic
in P5_15std_logic
in P5_1std_logic
in BUF_TTC_L1_ACCEPTstd_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test0.txt" data_jem0
in P6_10std_logic
out BF_DEBUG_3std_logic
in P2_4std_logic
in P12_14std_logic
in P8_7std_logic
in P12_1std_logic
in P7_12std_logic
in P14_11std_logic
in P0_14std_logic
out D_CBL_37_Bstd_logic
in P8_10std_logic
out BF_DAQ_DATA_OUT_DIRstd_logic
out BF_DOUT_CTP_22std_logic
in P5_17std_logic
out BF_DOUT_CTP_08std_logic
in P7_19std_logic
out D_CBL_44_Bstd_logic
in P15_8std_logic
in P14_2std_logic
in P8_0std_logic
out BF_TO_FROM_BSPT_4std_logic
out BF_DEBUG_6std_logic
in P15_22std_logic
out BF_DOUT_CTP_09std_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test12.txt" data_jem12
in P8_14std_logic
in P15_3std_logic
in P11_24std_logic
in P9_15std_logic
in P4_16std_logic
in P15_21std_logic
in P12_21std_logic
in P7_13std_logic
in P13_21std_logic
in P0_12std_logic
in OCB_A13std_logic
in D_CBL_16_Bstd_logic
in P7_4std_logic
in P7_24std_logic
in OCB_A04std_logic
out BF_REQ_CABLE_2_INPUTstd_logic
in P8_23std_logic
in P9_8std_logic
in OCB_WRITE_Bstd_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test8.txt" data_jem8
in P4_2std_logic
in OCB_GEO_ADRS_0std_logic
in P13_3std_logic
in P5_9std_logic
in P10_4std_logic
in P2_9std_logic
in P0_20std_logic
in P1_14std_logic
in P12_13std_logic
out BF_DOUT_CTP_63std_logic
out D_CBL_75_Bstd_logic
in P6_20std_logic
in P1_13std_logic
in P2_12std_logic
in P5_19std_logic
in P6_23std_logic
in P11_13std_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test6.txt" data_jem6
out BF_DOUT_CTP_40std_logic
std_logic_vector (15 downto 0) :=( others =>'0' ) zeros
in P5_20std_logic
in P5_22std_logic
out D_CBL_60_Bstd_logic
out BF_ROI_DATA_OUT_CMPstd_logic
out BF_DOUT_CTP_15std_logic
in P6_9std_logic
in D_CBL_43_Bstd_logic
in P2_5std_logic
out BF_DOUT_CTP_62std_logic
in P10_2std_logic
in P14_19std_logic
out BF_DOUT_CTP_33std_logic
in P0_23std_logic
out D_CBL_26_Bstd_logic
in P12_5std_logic
in P8_18std_logic
in P0_24std_logic
std_logic_vector (7 downto 0) OCB_A_BOARD_ADDR
out D_CBL_47_Bstd_logic
in P8_11std_logic
CMX_top_Base uutuut
out D_CBL_68_Bstd_logic
in P12_15std_logic
in P7_11std_logic
in P8_12std_logic
out D_CBL_55_Bstd_logic
in P4_3std_logic
in P0_9std_logic
in P11_9std_logic
in P6_12std_logic
in P13_7std_logic
out D_CBL_36_Bstd_logic
out D_CBL_56_Bstd_logic
in CLK_40MHz08_DSKW_1_BF_LOGIC_CMPstd_logic
in P9_24std_logic
out BF_DOUT_CTP_48std_logic
in OCB_A02std_logic
in P4_0std_logic
out D_CBL_50_Bstd_logic
out D_CBL_40_Bstd_logic
out BF_DOUT_CTP_44std_logic
in P9_23std_logic
in P13_12std_logic
out BF_DOUT_CTP_52std_logic
in P15_9std_logic
test registers
out D_CBL_12_Bstd_logic
in OCB_A20std_logic
in P0_4std_logic
in P6_13std_logic
in P10_3std_logic
in P1_3std_logic
in P0_3std_logic
out BF_REQ_CTP_2_INPUTstd_logic
in P14_15std_logic
in P9_5std_logic
in P9_19std_logic
out D_CBL_46_Bstd_logic
in P7_8std_logic
in P14_0std_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test13.txt" data_jem13
in P2_2std_logic
in P10_0std_logic
in P6_2std_logic
in P10_7std_logic
in P10_22std_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test11.txt" data_jem11
in P4_5std_logic
in P8_4std_logic
in P7_1std_logic
in P15_1std_logic
inout OCB_Dstd_logic_vector (15 downto 0)
in P13_10std_logic
in D_CBL_35_Bstd_logic
in P0_2std_logic
in P10_20std_logic
in P2_8std_logic
in P5_5std_logic
_library_ ieeeieee
in P15_11std_logic
out BF_DOUT_CTP_02std_logic
std_logic_vector (15 downto 0) OCB_A_LOCAL_ADDR
in P3_9std_logic
in D_CBL_31_Bstd_logic
out D_CBL_13_Bstd_logic
in CLK_40MHz08_DSKW_1_BF_LOGIC_DIRstd_logic
out BF_DOUT_CTP_13std_logic
in P13_1std_logic
in P15_7std_logic
TEXT open READ_MODE is "../../Jet_common/trunk/data/test1.txt" data_jem1
out D_CBL_61_Bstd_logic
in P6_17std_logic
in P5_3std_logic
out BF_DOUT_CTP_59std_logic
out D_CBL_71_Bstd_logic
in BF_TO_TP_ROI_SLINK_RETURN_DIRstd_logic
out BF_DOUT_CTP_56std_logic
in P15_17std_logic
in P4_7std_logic
in P2_20std_logic
out D_CBL_19_Bstd_logic
in P14_23std_logic
out BF_DOUT_CTP_11std_logic
in P3_17std_logic
out BF_DOUT_CTP_36std_logic
out D_CBL_66_Bstd_logic
in P11_15std_logic
in P5_23std_logic
in P13_16std_logic
in P9_0std_logic
in P1_12std_logic
in P8_22std_logic
array (15 downto 0 ) of string (24 downto 1) mystring
Definition: trig_sim.vhd:194
out BF_DEBUG_1std_logic
out D_CBL_02_Bstd_logic
out D_CBL_52_Bstd_logic
in P11_17std_logic
out D_CBL_18_Bstd_logic
out D_CBL_10_Bstd_logic
in P10_17std_logic
out BF_DOUT_CTP_12std_logic
out D_CBL_45_Bstd_logic