CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
cp_decoder.vhd
Go to the documentation of this file.
1 ----------------------------------------------------------------------------------
13 ----------------------------------------------------------------------------------
14 library IEEE;
15 use IEEE.STD_LOGIC_1164.ALL;
16 use IEEE.NUMERIC_STD.ALL;
17 use IEEE.STD_LOGIC_UNSIGNED.ALL;
18 use IEEE.STD_LOGIC_ARITH.CONV_STD_LOGIC_VECTOR;
19 Library work;
20 use work.CMXpackage.all;
21 use work.CMX_flavor_package.all;
22 use work.CMX_VME_defs.all;
23 
24 
25 library UNISIM;
26 use UNISIM.VComponents.all;
27 
28 
29 entity decoder is
30 
31  port(
32  clk40MHz : in std_logic; -- clk40MHz clock
33  clk40MHz_m90o : in std_logic;
34  clk40MHz_90o : in std_logic;
35  clk40MHz_m180o : in std_logic;
36  pll_locked : in std_logic; -- reset
37  datai : in arr_4Xword(max_cps-1 downto 0); -- input data
38  datai_first_half : in arr_2Xword(max_cps-1 downto 0);
39  Tobs_to_TOPO : out copy_arr_TOB; -- TOB arrays to load onto
40  -- encoder; copied x4
41  overflow : out std_logic_vector(num_copies-1 downto 0);
42  BCID_in : in std_logic_vector(11 downto 0);
43  BCID_delayed : out std_logic_vector(11 downto 0); --BCID id delayed to
44  --match the latency
45  --of the processing
46  --tob rate counter contol
47  counter_inhibit : in std_logic;
48  counter_reset : in std_logic;
49  --VME control:
50  ncs : in std_logic;
51  rd_nwr : in std_logic;
52  ds : in std_logic;
53  addr_vme : in std_logic_vector (15 downto 0);
54  data_vme_out : out std_logic_vector (15 downto 0);
55  bus_drive : out std_logic); --overflow copied x4
56 
57 end decoder;
58 
59 architecture RTL of decoder is
60 
61 
62  signal data_vme_out_local : arr_16((4*max_cps)+4 + (max_cps*num_presence_bits_pcp)*2-1 downto 0);
63  signal bus_drive_local : std_logic_vector((4*max_cps)+4 + (max_cps*num_presence_bits_pcp)*2-1 downto 0);
64 
65  component vme_local_switch is
66  port (
67  data_vme_up : out std_logic_vector (15 downto 0);
68  data_vme_from_below : in arr_16;
69  bus_drive_up : out std_logic;
70  bus_drive_from_below : in std_logic_vector);
71  end component vme_local_switch;
72 
73  component vme_outreg_notri_async is
74  generic (
75  ia_vme : integer;
76  width : integer);
77  port (
78  ncs : in std_logic;
79  rd_nwr : in std_logic;
80  ds : in std_logic;
81  addr_vme : in std_logic_vector (15 downto 0);
82  data_vme : out std_logic_vector (15 downto 0);
83  bus_drive : out std_logic;
84  data_to_vme : in std_logic_vector (width-1 downto 0));
85  end component vme_outreg_notri_async;
86 
87 
88  component compExch is
89  port (
90  A, B : in EmTauTOB;
91  H, L : out EmTauTOB);
92  end component compExch;
93 
94 --
95 -- RoI Position
96 --
97  component roiposA
98  PORT (
99  clka : in std_logic;
100  addra : in std_logic_vector(7 downto 0);
101  douta : out std_logic_vector(23 downto 0)
102  );
103  end component;
104 
105  component roiposB
106  PORT (
107  clka : in std_logic;
108  addra : in std_logic_vector(7 downto 0);
109  douta : out std_logic_vector(23 downto 0)
110  );
111  end component;
112 
113 
114  component or_all is
115  generic (
116  numbits : integer);
117  port (
118  DATA : in std_logic_vector(numbits - 1 downto 0);
119  or_all : out std_logic);
120  end component or_all;
121 
122 --
123 -- Decoder signals
124 --
125 
126  signal roi_posA_full : pos_type_ext;
127  signal roi_posA : pos_type;
128  signal roi_posB_full : pos_type_ext;
129  signal roi_posB : pos_type;
130 
131  --'collapsed position'
132  signal roi_pos : pos_type;
133 
134  --signal overflw,
135  -- overflw_del0 : std_logic_vector(max_cps-1 downto 0);
136 
137  signal overflow_local : std_logic_vector(max_cps-1 downto 0);
138  signal or_all_ov_local : std_logic;
139  signal overflow_local_any : std_logic;
141  signal overflow_sig : std_logic_vector(num_copies-1 downto 0);
142  signal CLE_overflowing_TOB : arr_8(num_copies-1 downto 0); --energy of the
143  --overflowing cluster
144 
145 
146  signal ntobsA : num_tobs_half;
147  signal ntobsB : num_tobs_half;
148  signal ntobs : num_tobs;
149 
150  signal TOBs_input : arr_TOB(max_tobs_tot-1 downto 0);
151  --signal TOBs_output : arr_TOB(max_tobs_tot-1 downto 0);
152 
153 
154  signal TobLayerIn : type_TOB_sort_layers;
155  signal TobLayerOut : type_TOB_sort_layers;
156 
157  --component chipscope_ila_cp_decoder is
158  -- port (
159  -- CONTROL : inout std_logic_vector(35 downto 0);
160  -- CLK : in std_logic;
161  -- DATA : in std_logic_vector(377 downto 0);
162  -- TRIG0 : in std_logic_vector(0 to 0));
163  --end component chipscope_ila_cp_decoder;
164  --
165  --signal CONTROL : std_logic_vector(35 downto 0);
166  --signal DATA_ila_cp_decoder : std_logic_vector(377 downto 0);
167  --signal TRIG0_ila_cp_decoder : std_logic_vector(0 to 0);
168  --
169  --component chipscope_icon_u2_c1 is
170  -- port (
171  -- CONTROL0 : inout std_logic_vector(35 downto 0));
172  --end component chipscope_icon_u2_c1;
173 
174  signal ntobs_counter : arr_ctr_33bit(max_cps-1 downto 0);
175  signal presence_bit_counter : arr_ctr_32bit(max_cps*16 -1 downto 0); --16 presence bits/CPM
176 
177  signal local_backplane_overflow_counter : arr_ctr_33bit(max_cps-1 downto 0);
178 
179  signal global_backplane_overflow_counter : unsigned(32 downto 0);
180  signal total_overflow_counter : unsigned(32 downto 0);
181 
182  signal counter_inhibit_r_local : std_logic;
183  signal counter_reset_r_local : std_logic;
184 
185  signal all_one_thirtythree : std_logic_vector(32 downto 0);
186 
187  signal BCID_r, BCID_rr, BCID_rrr : std_logic_vector(11 downto 0);
188 
189  --component chipscope_icon_u1_c14 is
190  -- port (
191  -- CONTROL0 : inout std_logic_vector(35 downto 0);
192  -- CONTROL1 : inout std_logic_vector(35 downto 0);
193  -- CONTROL2 : inout std_logic_vector(35 downto 0);
194  -- CONTROL3 : inout std_logic_vector(35 downto 0);
195  -- CONTROL4 : inout std_logic_vector(35 downto 0);
196  -- CONTROL5 : inout std_logic_vector(35 downto 0);
197  -- CONTROL6 : inout std_logic_vector(35 downto 0);
198  -- CONTROL7 : inout std_logic_vector(35 downto 0);
199  -- CONTROL8 : inout std_logic_vector(35 downto 0);
200  -- CONTROL9 : inout std_logic_vector(35 downto 0);
201  -- CONTROL10 : inout std_logic_vector(35 downto 0);
202  -- CONTROL11 : inout std_logic_vector(35 downto 0);
203  -- CONTROL12 : inout std_logic_vector(35 downto 0);
204  -- CONTROL13 : inout std_logic_vector(35 downto 0));
205  --end component chipscope_icon_u1_c14;
206  --
207  --signal CONTROL_bus : arr_36(13 downto 0);
208  --
209  --component chipscope_ila_presence_bits is
210  -- port (
211  -- CONTROL : inout std_logic_vector(35 downto 0);
212  -- CLK : in std_logic;
213  -- TRIG0 : in std_logic_vector(15 downto 0);
214  -- TRIG_OUT : out std_logic);
215  --end component chipscope_ila_presence_bits;
216  --
217  --
218  --signal TRIG0_ila_presence_bits : arr_16(6 downto 0);
219  --signal TRIG_OUT_ila_presence_bits : std_logic_vector(6 downto 0);
220  --
221  --component chipscope_ila_TOB_data is
222  -- port (
223  -- CONTROL : inout std_logic_vector(35 downto 0);
224  -- CLK : in std_logic;
225  -- DATA : in std_logic_vector(170 downto 0);
226  -- TRIG0 : in std_logic_vector(16 downto 0));
227  --end component chipscope_ila_TOB_data;
228  --
229  --
230  --signal DATA_TOB_data : arr_171(6 downto 0);
231  --signal TRIG0_TOB_data : arr_17(6 downto 0);
232 
233 begin
234 
235  all_one_thirtythree<=(others=>'1');
236 
237 
238  --chipscope_icon_u1_c14_inst: entity work.chipscope_icon_u1_c14
239  -- port map (
240  -- CONTROL0 => CONTROL_bus(0),
241  -- CONTROL1 => CONTROL_bus(1),
242  -- CONTROL2 => CONTROL_bus(2),
243  -- CONTROL3 => CONTROL_bus(3),
244  -- CONTROL4 => CONTROL_bus(4),
245  -- CONTROL5 => CONTROL_bus(5),
246  -- CONTROL6 => CONTROL_bus(6),
247  -- CONTROL7 => CONTROL_bus(7),
248  -- CONTROL8 => CONTROL_bus(8),
249  -- CONTROL9 => CONTROL_bus(9),
250  -- CONTROL10 => CONTROL_bus(10),
251  -- CONTROL11 => CONTROL_bus(11),
252  -- CONTROL12 => CONTROL_bus(12),
253  -- CONTROL13 => CONTROL_bus(13));
254  --
255  --
256  --cs_gen: for i_cs in 0 to 6 generate
257  --
258  -- constant i_cp :integer := i_cs*2+1;
259  --
260  --begin
261  --
262  -- chipscope_ila_presence_bits_inst: entity work.chipscope_ila_presence_bits
263  -- port map (
264  -- CONTROL => CONTROL_bus(i_cs*2),
265  -- CLK => clk40MHz_m90o,
266  -- TRIG0 => TRIG0_ila_presence_bits(i_cs),
267  -- TRIG_OUT => TRIG_OUT_ila_presence_bits(i_cs));
268  --
269  -- chipscope_ila_TOB_data_inst: entity work.chipscope_ila_TOB_data
270  -- port map (
271  -- CONTROL => CONTROL_bus(i_cs*2+1),
272  -- CLK => clk40MHz_90o,
273  -- DATA => DATA_TOB_data(i_cs),
274  -- TRIG0 => TRIG0_TOB_data(i_cs));
275  --
276  -- TRIG0_ila_presence_bits(i_cs)<=datai_first_half(i_cp)(15 downto 0);
277  --
278  -- TRIG0_TOB_data(i_cs)(15 downto 0)<=datai(i_cp)(15 downto 0);
279  -- TRIG0_TOB_data(i_cs)(16)<=TRIG_OUT_ila_presence_bits(i_cs);
280  --
281  -- DATA_TOB_data(i_cs)(15 downto 0)<=datai(i_cp)(15 downto 0);
282  -- DATA_TOB_data(i_cs)(16)<=TRIG_OUT_ila_presence_bits(i_cs);
283  -- DATA_TOB_data(i_cs)(36 downto 17)<=roi_posA(i_cp);
284  -- DATA_TOB_data(i_cs)(56 downto 37)<=roi_posB(i_cp);
285  -- DATA_TOB_data(i_cs)(60 downto 57)<=ntobsA(i_cp);
286  -- DATA_TOB_data(i_cs)(80 downto 61)<=roi_pos(i_cp);
287  -- DATA_TOB_data(i_cs)(90 downto 81) <=TOBs_input(i_cp*max_tobs_pcp+0).POS;
288  -- DATA_TOB_data(i_cs)(100 downto 91) <=TOBs_input(i_cp*max_tobs_pcp+1).POS;
289  -- DATA_TOB_data(i_cs)(110 downto 101)<=TOBs_input(i_cp*max_tobs_pcp+2).POS;
290  -- DATA_TOB_data(i_cs)(120 downto 111)<=TOBs_input(i_cp*max_tobs_pcp+3).POS;
291  -- DATA_TOB_data(i_cs)(130 downto 121)<=TOBs_input(i_cp*max_tobs_pcp+4).POS;
292  -- DATA_TOB_data(i_cs)(138 downto 131) <=TOBs_input(i_cp*max_tobs_pcp+0).CLE;
293  -- DATA_TOB_data(i_cs)(146 downto 139) <=TOBs_input(i_cp*max_tobs_pcp+1).CLE;
294  -- DATA_TOB_data(i_cs)(154 downto 147)<=TOBs_input(i_cp*max_tobs_pcp+2).CLE;
295  -- DATA_TOB_data(i_cs)(162 downto 155)<=TOBs_input(i_cp*max_tobs_pcp+3).CLE;
296  -- DATA_TOB_data(i_cs)(170 downto 163)<=TOBs_input(i_cp*max_tobs_pcp+4).CLE;
297  --
298  --
299  --end generate cs_gen;
300 
301  vme_local_switch_inst: entity work.vme_local_switch
302  port map (
307 
308  process(clk40MHz)
309  begin
310  if rising_edge(clk40MHz) then
313  end if;
314  end process;
315 
316 
317  data_parser_0: for i_cp in 0 to (max_cps-1) generate
318  data_parser_1: for j_tob in 0 to (max_tobs_pcp-1) generate
319 
320  process(clk40MHz_90o)
321  begin
322  if rising_edge(clk40MHz_90o) then
323  TOBs_input(i_cp*max_tobs_pcp+j_tob).CLE<=datai(i_cp)(arr_addr_CLE_Lo(j_tob) + 7 downto arr_addr_CLE_Lo(j_tob));
324  TOBs_input(i_cp*max_tobs_pcp+j_tob).ISO<=datai(i_cp)(arr_addr_ISO_Lo(j_tob)+4 downto arr_addr_ISO_Lo(j_tob));
325  TOBs_input(i_cp*max_tobs_pcp+j_tob).POS(1 downto 0)<=datai(i_cp)(arr_addr_POS_Lo(j_tob)+1 downto arr_addr_POS_Lo(j_tob));
326  TOBs_input(i_cp*max_tobs_pcp+j_tob).POS(5 downto 2)<=roi_pos(i_cp)(3+j_tob*4 downto j_tob*4);
327  end if;
328  end process;
329 
330  TOBs_input(i_cp*max_tobs_pcp+j_tob).POS(9 downto 6)<=std_logic_vector(to_unsigned(i_cp+1,4));
331 
332  end generate data_parser_1;
333  end generate data_parser_0;
334 
335 
336  roipos_gen: for i in 0 to (max_cps-1) generate
337 
338  roipos_A: roiposA
339  port map (
340  clka => clk40MHz_m90o ,
341  addra => datai_first_half (i)(7 downto 0),
342  douta => roi_posA_full (i));
343 
344  roipos_B: roiposB
345  port map (
346  clka => clk40MHz_m90o ,
347  addra => datai_first_half (i)(15 downto 8),
348  douta => roi_posB_full (i));
349 
350  roi_posA(i)<=roi_posA_full(i)(19 downto 0);
351  roi_posB(i)<=roi_posB_full(i)(19 downto 0);
352 
353 
354 
355  ntobsA(i)<=roi_posA_full(i)(23 downto 20);
356  ntobsB(i)<=roi_posB_full(i)(23 downto 20);
357 
358  ntobs(i)<=resize(unsigned(ntobsA(i)),5) + resize(unsigned(ntobsB(i)),5) ;
359 
360  with ntobsA(i) select roi_pos(i) <=
361  roi_posB(i) when "0000",
362  (roi_posB(i)(15 downto 0) & roi_posA(i)(3 downto 0)) when "0001",
363  (roi_posB(i)(11 downto 0) & roi_posA(i)(7 downto 0)) when "0010",
364  (roi_posB(i)(7 downto 0) & roi_posA(i)(11 downto 0)) when "0011",
365  (roi_posB(i)(3 downto 0) & roi_posA(i)(15 downto 0)) when "0100",
366  roi_posA(i) when others; -- five or more in first half
367 
368 
369  process(clk40MHz)
370  begin
371  if rising_edge(clk40MHz) then
372  if counter_reset_r_local='1' then
373  ntobs_counter(i)<=to_unsigned(0,33);
374  else
375  if ntobs_counter(i)(32)='1' then
376  ntobs_counter(i)<=unsigned(all_one_thirtythree);
377  else
378  if counter_inhibit_r_local /= '1' then
379  ntobs_counter(i)<=ntobs_counter(i)+unsigned(ntobs(i));
380  else
382  end if;
383  end if;
384  end if;
385  end if;
386  end process;
387 
388  vme_outreg_notri_async_REG_RO_TOB_COUNTER_0: entity work.vme_outreg_notri_async
389  generic map (
390  ia_vme => ADDR_REG_RO_TOB_COUNTER+4*i ,
391  width => 16)
392  port map (
393  ncs => ncs,
394  rd_nwr => rd_nwr,
395  ds => ds,
396  addr_vme => addr_vme,
398  bus_drive => bus_drive_local (2*i),
399  data_to_vme => std_logic_vector(ntobs_counter(i)(15 downto 0)));
400 
401  vme_outreg_notri_async_REG_RO_TOB_COUNTER_1: entity work.vme_outreg_notri_async
402  generic map (
403  ia_vme => ADDR_REG_RO_TOB_COUNTER+4*i+2,
404  width => 16)
405  port map (
406  ncs => ncs,
407  rd_nwr => rd_nwr,
408  ds => ds,
409  addr_vme => addr_vme,
410  data_vme => data_vme_out_local(2*i+1),
411  bus_drive => bus_drive_local (2*i+1),
412  data_to_vme => std_logic_vector(ntobs_counter(i)(31 downto 16)));
413 
414 
415 
416  gen_presence_counter: for i_pres_bit in 0 to (num_presence_bits_pcp-1) generate
417  constant i_counter : integer:=i*num_presence_bits_pcp+i_pres_bit;
418  begin
419 
420  process(clk40MHz)
421  begin
422  if rising_edge(clk40MHz) then
423  if counter_reset_r_local='1' then
424  presence_bit_counter(i_counter)<=to_unsigned(0,32);
425  else
426  if counter_inhibit_r_local/='1' and presence_bit_counter(i_counter)/=unsigned(all_one_thirtythree(31 downto 0)) and datai(i)(i_pres_bit)='1' then
428  else
430  end if;
431  end if;
432  end if;
433  end process;
434 
435 
436  vme_outreg_notri_async_REG_RO_PRESENCE_COUNTER_0: entity work.vme_outreg_notri_async
437  generic map (
438  ia_vme => ADDR_REG_RO_PRESENCE_COUNTER+4*i_counter,
439  width => 16)
440  port map (
441  ncs => ncs,
442  rd_nwr => rd_nwr,
443  ds => ds,
444  addr_vme => addr_vme,
445  data_vme => data_vme_out_local((4*max_cps)+4 + i_counter*2),
446  bus_drive => bus_drive_local ((4*max_cps)+4 + i_counter*2),
447  data_to_vme => std_logic_vector(presence_bit_counter(i_counter)(15 downto 0)));
448 
449  vme_outreg_notri_async_REG_RO_PRESENCE_COUNTER_1: entity work.vme_outreg_notri_async
450  generic map (
451  ia_vme => ADDR_REG_RO_PRESENCE_COUNTER+4*i_counter+2,
452  width => 16)
453  port map (
454  ncs => ncs,
455  rd_nwr => rd_nwr,
456  ds => ds,
457  addr_vme => addr_vme,
458  data_vme => data_vme_out_local((4*max_cps)+4 + i_counter*2+1),
459  bus_drive => bus_drive_local ((4*max_cps)+4 + i_counter*2+1),
460  data_to_vme => std_logic_vector(presence_bit_counter(i_counter)(31 downto 16)));
461 
462  end generate gen_presence_counter;
463 
464  end generate roipos_gen;
465 
466 
467 
468  ov_local_gen: for i_cp in 0 to (max_cps-1) generate
469 
470  process(clk40MHz_m90o)
471  begin
472  if rising_edge(clk40MHz_m90o) then
473  if unsigned(ntobs(i_cp))>to_unsigned(5,5) then
474  overflow_local(i_cp)<='1';
475  else
476  overflow_local(i_cp)<='0';
477  end if;
478  end if;
479  end process;
480 
481 
482  process(clk40MHz)
483  begin
484  if rising_edge(clk40MHz) then
485  if counter_reset_r_local='1' then
486  local_backplane_overflow_counter(i_cp)<=to_unsigned(0,33);
487  else
488  if local_backplane_overflow_counter(i_cp)(32)='1' then
489  local_backplane_overflow_counter(i_cp)<=unsigned(all_one_thirtythree);
490  else
491  if counter_inhibit_r_local /= '1' and overflow_local(i_cp)='1' then
492  local_backplane_overflow_counter(i_cp)<=local_backplane_overflow_counter(i_cp)+1;
493  else
494  local_backplane_overflow_counter(i_cp)<=local_backplane_overflow_counter(i_cp);
495  end if;
496  end if;
497  end if;
498  end if;
499  end process;
500 
501 
502  vme_outreg_notri_async_REG_RO_LOCAL_BACKPLANE_OVERFLOW_COUNTER_0: entity work.vme_outreg_notri_async
503  generic map (
504  ia_vme => ADDR_REG_RO_LOCAL_BACKPLANE_OVERFLOW_COUNTER+4*i_cp,
505  width => 16)
506  port map (
507  ncs => ncs,
508  rd_nwr => rd_nwr,
509  ds => ds,
510  addr_vme => addr_vme,
511  data_vme => data_vme_out_local((2*max_cps)+2*i_cp),
512  bus_drive => bus_drive_local ((2*max_cps)+2*i_cp),
513  data_to_vme => std_logic_vector(local_backplane_overflow_counter(i_cp)(15 downto 0)));
514 
515  vme_outreg_notri_async_REG_RO_LOCAL_BACKPLANE_OVERFLOW_COUNTER_1: entity work.vme_outreg_notri_async
516  generic map (
517  ia_vme => ADDR_REG_RO_LOCAL_BACKPLANE_OVERFLOW_COUNTER+4*i_cp+2,
518  width => 16)
519  port map (
520  ncs => ncs,
521  rd_nwr => rd_nwr,
522  ds => ds,
523  addr_vme => addr_vme,
524  data_vme => data_vme_out_local((2*max_cps)+2*i_cp+1),
525  bus_drive => bus_drive_local ((2*max_cps)+2*i_cp+1),
526  data_to_vme => std_logic_vector(local_backplane_overflow_counter(i_cp)(31 downto 16)));
527 
528  end generate ov_local_gen;
529 
530 
531  or_all_ov_local_inst: entity work.or_all
532  generic map (
533  numbits => max_cps)
534  port map (
535  DATA => overflow_local,
537 
538  process(clk40MHz_90o)
539  begin
540  if rising_edge(clk40MHz_90o) then
542  end if;
543  end process;
544 
545  process(clk40MHz_m180o)
546  begin
547  if rising_edge(clk40MHz_m180o) then
550  end if;
551  end process;
552 
553 
554 
555  process(clk40MHz)
556  begin
557  if rising_edge(clk40MHz) then
558  if counter_reset_r_local='1' then
559  global_backplane_overflow_counter<=to_unsigned(0,33);
560  else
561  if global_backplane_overflow_counter(32)='1' then
563  else
564  if counter_inhibit_r_local /= '1' and overflow_local_any_rr='1' then
566  else
568  end if;
569  end if;
570  end if;
571  end if;
572  end process;
573 
574  vme_outreg_notri_async_REG_RO_GLOBAL_BACKPLANE_OVERFLOW_COUNTER_0: entity work.vme_outreg_notri_async
575  generic map (
576  ia_vme => ADDR_REG_RO_GLOBAL_BACKPLANE_OVERFLOW_COUNTER,
577  width => 16)
578  port map (
579  ncs => ncs,
580  rd_nwr => rd_nwr,
581  ds => ds,
582  addr_vme => addr_vme,
583  data_vme => data_vme_out_local ((4*max_cps)),
584  bus_drive => bus_drive_local ((4*max_cps)),
585  data_to_vme => std_logic_vector(global_backplane_overflow_counter(15 downto 0)));
586 
587  vme_outreg_notri_async_REG_RO_GLOBAL_BACKPLANE_OVERFLOW_COUNTER_1: entity work.vme_outreg_notri_async
588  generic map (
589  ia_vme => ADDR_REG_RO_GLOBAL_BACKPLANE_OVERFLOW_COUNTER+2,
590  width => 16)
591  port map (
592  ncs => ncs,
593  rd_nwr => rd_nwr,
594  ds => ds,
595  addr_vme => addr_vme,
596  data_vme => data_vme_out_local ((4*max_cps)+1),
597  bus_drive => bus_drive_local ((4*max_cps)+1),
598  data_to_vme => std_logic_vector(global_backplane_overflow_counter(31 downto 16)));
599 
600 
601 
603 
604  gen_layers: for i_layer in 0 to num_sort_layers-2 generate
605 
606  gen_layer_connection_no_reg: if (
607  (i_layer/=1) and
608  (i_layer/=3) and
609  (i_layer/=5) and
610  (i_layer/=7) and
611  (i_layer/=9) and
612  (i_layer/=11) and
613  (i_layer/=13) and
614  (i_layer/=15) and
615  (i_layer/=17) and
616  (i_layer/=19) and
617  (i_layer/=21) and
618  (i_layer/=23) and
619  (i_layer/=25)
620  ) generate
621  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
622  end generate gen_layer_connection_no_reg;
623 
624  gen_layer_connection_L1_to_L2_reg: if i_layer=1 generate
625  process(clk40MHz_m180o)
626  begin
627  if rising_edge(clk40MHz_m180o) then
628  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
629  end if;
630  end process;
631  end generate gen_layer_connection_L1_to_L2_reg;
632 
633  gen_layer_connection_L3_to_L4_reg: if i_layer=3 generate
634  process(clk40MHz_m90o)
635  begin
636  if rising_edge(clk40MHz_m90o) then
637  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
638  end if;
639  end process;
640  end generate gen_layer_connection_L3_to_L4_reg;
641 
642  gen_layer_connection_L5_to_L6_reg: if i_layer=5 generate
643  process(clk40MHz)
644  begin
645  if rising_edge(clk40MHz) then
646  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
647  end if;
648  end process;
649  end generate gen_layer_connection_L5_to_L6_reg;
650 
651  gen_layer_connection_L7_to_L8_reg: if i_layer=7 generate
652  process(clk40MHz_90o)
653  begin
654  if rising_edge(clk40MHz_90o) then
655  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
656  end if;
657  end process;
658  end generate gen_layer_connection_L7_to_L8_reg;
659 
660  gen_layer_connection_L9_to_L10_reg: if i_layer=9 generate
661  process(clk40MHz_m180o)
662  begin
663  if rising_edge(clk40MHz_m180o) then
664  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
665  end if;
666  end process;
667  end generate gen_layer_connection_L9_to_L10_reg;
668 
669  gen_layer_connection_L11_to_L12_reg: if i_layer=11 generate
670  process(clk40MHz_m90o)
671  begin
672  if rising_edge(clk40MHz_m90o) then
673  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
674  end if;
675  end process;
676  end generate gen_layer_connection_L11_to_L12_reg;
677 
678  gen_layer_connection_L13_to_L14_reg: if i_layer=13 generate
679  process(clk40MHz)
680  begin
681  if rising_edge(clk40MHz) then
682  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
683  end if;
684  end process;
685  end generate gen_layer_connection_L13_to_L14_reg;
686 
687  gen_layer_connection_L15_to_L16_reg: if i_layer=15 generate
688  process(clk40MHz_90o)
689  begin
690  if rising_edge(clk40MHz_90o) then
691  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
692  end if;
693  end process;
694  end generate gen_layer_connection_L15_to_L16_reg;
695 
696  gen_layer_connection_L17_to_L18_reg: if i_layer=17 generate
697  process(clk40MHz_m180o)
698  begin
699  if rising_edge(clk40MHz_m180o) then
700  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
701  end if;
702  end process;
703  end generate gen_layer_connection_L17_to_L18_reg;
704 
705  gen_layer_connection_L19_to_L20_reg: if i_layer=19 generate
706  process(clk40MHz_m90o)
707  begin
708  if rising_edge(clk40MHz_m90o) then
709  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
710  end if;
711  end process;
712  end generate gen_layer_connection_L19_to_L20_reg;
713 
714  gen_layer_connection_L21_to_L22_reg: if i_layer=21 generate
715  process(clk40MHz)
716  begin
717  if rising_edge(clk40MHz) then
718  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
719  end if;
720  end process;
721  end generate gen_layer_connection_L21_to_L22_reg;
722 
723  gen_layer_connection_L23_to_L24_reg: if i_layer=23 generate
724  process(clk40MHz_90o)
725  begin
726  if rising_edge(clk40MHz_90o) then
727  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
728  end if;
729  end process;
730  end generate gen_layer_connection_L23_to_L24_reg;
731 
732 
733  gen_layer_connection_L25_to_L26_reg: if i_layer=25 generate
734  process(clk40MHz_m180o)
735  begin
736  if rising_edge(clk40MHz_m180o) then
737  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
738  end if;
739  end process;
740  end generate gen_layer_connection_L25_to_L26_reg;
741 
742 
743  end generate gen_layers;
744 
745  process(clk40MHz_m90o)
746  begin
747  if rising_edge(clk40MHz_m90o) then
749  BCID_rrr<=BCID_rr;
750  BCID_rr<=BCID_r;
751  BCID_r<=BCID_in;
752  end if;
753  end process;
754 
755  --duplicate registers to ease timing.
756  output_copy_gen: for i_copy in 0 to num_copies-1 generate
757  process(clk40MHz_m90o)
758  begin
759  if rising_edge(clk40MHz_m90o) then
760  Tobs_to_TOPO(i_copy)<=TobLayerOut(27)(max_tobs_topo-1 downto 0);
762  end if;
763  end process;
764  end generate output_copy_gen;
765 
766 
767  overflow_copy_gen: for i_copy in 0 to num_copies-1 generate
768  process(clk40MHz)
769  begin
770  if rising_edge(clk40MHz) then
771  if CLE_overflowing_TOB(i_copy) /= "00000000" or overflow_local_any_rr/='0' then
772  overflow_sig(i_copy)<='1';
773  else
774  overflow_sig(i_copy)<='0';
775  end if;
776  end if;
777  end process;
778  end generate overflow_copy_gen;
780 
781 
782  process(clk40MHz)
783  begin
784  if rising_edge(clk40MHz) then
785  if counter_reset_r_local='1' then
786  total_overflow_counter<=to_unsigned(0,33);
787  else
788  if total_overflow_counter(32)='1' then
790  else
791  if counter_inhibit_r_local /= '1' and overflow_sig(0)='1' then
793  else
795  end if;
796  end if;
797  end if;
798  end if;
799  end process;
800 
801  vme_outreg_notri_async_REG_RO_TOTAL_OVERFLOW_COUNTER_0: entity work.vme_outreg_notri_async
802  generic map (
803  ia_vme => ADDR_REG_RO_TOTAL_OVERFLOW_COUNTER ,
804  width => 16)
805  port map (
806  ncs => ncs,
807  rd_nwr => rd_nwr,
808  ds => ds,
809  addr_vme => addr_vme,
810  data_vme => data_vme_out_local ((4*max_cps)+2),
811  bus_drive => bus_drive_local ((4*max_cps)+2),
812  data_to_vme => std_logic_vector(total_overflow_counter(15 downto 0)));
813 
814  vme_outreg_notri_async_REG_RO_TOTAL_OVERFLOW_COUNTER_1: entity work.vme_outreg_notri_async
815  generic map (
816  ia_vme => ADDR_REG_RO_TOTAL_OVERFLOW_COUNTER+2 ,
817  width => 16)
818  port map (
819  ncs => ncs,
820  rd_nwr => rd_nwr,
821  ds => ds,
822  addr_vme => addr_vme,
823  data_vme => data_vme_out_local ((4*max_cps)+3),
824  bus_drive => bus_drive_local ((4*max_cps)+3),
825  data_to_vme => std_logic_vector(total_overflow_counter(31 downto 16)));
826 
827 
828 
829  --chipscope_icon_u2_c1_inst: entity work.chipscope_icon_u2_c1
830  -- port map (
831  -- CONTROL0 => CONTROL);
832  --
833  --
834  --chipscope_ila_cp_decoder_inst: entity work.chipscope_ila_cp_decoder
835  -- port map (
836  -- CONTROL => CONTROL,
837  -- CLK => clk40MHz,
838  -- DATA => DATA_ila_cp_decoder,
839  -- TRIG0 => TRIG0_ila_cp_decoder);
840  --
841  --
842  --TRIG0_ila_cp_decoder(0)<=overflow_local_any;
843  --
844  --
845  --DATA_ila_cp_decoder(95 downto 0)<=datai(0);
846  --DATA_ila_cp_decoder(191 downto 96)<=datai(1);
847  --
848  --DATA_ila_cp_decoder(195 downto 192)<=ntobs(0);
849  --DATA_ila_cp_decoder(199 downto 196)<=ntobs(1);
850  --
851  --DATA_ila_cp_decoder(215 downto 200)<=overflow_local;
852  --
853  --DATA_ila_cp_decoder(216)<=overflow_local_any;
854  --
855  --DATA_ila_cp_decoder(217)<='0';
856  --
857  --cs_tob_gen: for i_tob in 0 to 15 generate
858  -- DATA_ila_cp_decoder(218 + (10*(i_tob+1)-1) downto 218 + 10*i_tob)<=TOBs_output(i_tob).Et2;
859  --end generate cs_tob_gen;
860  --
861 
862  --paste from auto code generation
863 
864  compExch_Layer_00_to_01_sites_00_01: compExch port map(A =>TobLayerIn(0)(0), B =>TobLayerIn(0)(1), H =>TobLayerOut(0)(0), L =>TobLayerOut(0)(1));
865 
866 
867  compExch_Layer_00_to_01_sites_02_03: compExch port map(A =>TobLayerIn(0)(2), B =>TobLayerIn(0)(3), H =>TobLayerOut(0)(2), L =>TobLayerOut(0)(3));
868 
869 
870  compExch_Layer_00_to_01_sites_04_05: compExch port map(A =>TobLayerIn(0)(4), B =>TobLayerIn(0)(5), H =>TobLayerOut(0)(4), L =>TobLayerOut(0)(5));
871 
872 
873  compExch_Layer_00_to_01_sites_06_07: compExch port map(A =>TobLayerIn(0)(6), B =>TobLayerIn(0)(7), H =>TobLayerOut(0)(6), L =>TobLayerOut(0)(7));
874 
875 
876  compExch_Layer_00_to_01_sites_08_09: compExch port map(A =>TobLayerIn(0)(8), B =>TobLayerIn(0)(9), H =>TobLayerOut(0)(8), L =>TobLayerOut(0)(9));
877 
878 
879  compExch_Layer_00_to_01_sites_10_11: compExch port map(A =>TobLayerIn(0)(10), B =>TobLayerIn(0)(11), H =>TobLayerOut(0)(10), L =>TobLayerOut(0)(11));
880 
881 
882  compExch_Layer_00_to_01_sites_12_13: compExch port map(A =>TobLayerIn(0)(12), B =>TobLayerIn(0)(13), H =>TobLayerOut(0)(12), L =>TobLayerOut(0)(13));
883 
884 
885  compExch_Layer_00_to_01_sites_14_15: compExch port map(A =>TobLayerIn(0)(14), B =>TobLayerIn(0)(15), H =>TobLayerOut(0)(14), L =>TobLayerOut(0)(15));
886 
887 
888  compExch_Layer_00_to_01_sites_16_17: compExch port map(A =>TobLayerIn(0)(16), B =>TobLayerIn(0)(17), H =>TobLayerOut(0)(16), L =>TobLayerOut(0)(17));
889 
890 
891  compExch_Layer_00_to_01_sites_18_19: compExch port map(A =>TobLayerIn(0)(18), B =>TobLayerIn(0)(19), H =>TobLayerOut(0)(18), L =>TobLayerOut(0)(19));
892 
893 
894  compExch_Layer_00_to_01_sites_20_21: compExch port map(A =>TobLayerIn(0)(20), B =>TobLayerIn(0)(21), H =>TobLayerOut(0)(20), L =>TobLayerOut(0)(21));
895 
896 
897  compExch_Layer_00_to_01_sites_22_23: compExch port map(A =>TobLayerIn(0)(22), B =>TobLayerIn(0)(23), H =>TobLayerOut(0)(22), L =>TobLayerOut(0)(23));
898 
899 
900  compExch_Layer_00_to_01_sites_24_25: compExch port map(A =>TobLayerIn(0)(24), B =>TobLayerIn(0)(25), H =>TobLayerOut(0)(24), L =>TobLayerOut(0)(25));
901 
902 
903  compExch_Layer_00_to_01_sites_26_27: compExch port map(A =>TobLayerIn(0)(26), B =>TobLayerIn(0)(27), H =>TobLayerOut(0)(26), L =>TobLayerOut(0)(27));
904 
905 
906  compExch_Layer_00_to_01_sites_28_29: compExch port map(A =>TobLayerIn(0)(28), B =>TobLayerIn(0)(29), H =>TobLayerOut(0)(28), L =>TobLayerOut(0)(29));
907 
908 
909  compExch_Layer_00_to_01_sites_30_31: compExch port map(A =>TobLayerIn(0)(30), B =>TobLayerIn(0)(31), H =>TobLayerOut(0)(30), L =>TobLayerOut(0)(31));
910 
911 
912  compExch_Layer_00_to_01_sites_32_33: compExch port map(A =>TobLayerIn(0)(32), B =>TobLayerIn(0)(33), H =>TobLayerOut(0)(32), L =>TobLayerOut(0)(33));
913 
914 
915  compExch_Layer_00_to_01_sites_34_35: compExch port map(A =>TobLayerIn(0)(34), B =>TobLayerIn(0)(35), H =>TobLayerOut(0)(34), L =>TobLayerOut(0)(35));
916 
917 
918  compExch_Layer_00_to_01_sites_36_37: compExch port map(A =>TobLayerIn(0)(36), B =>TobLayerIn(0)(37), H =>TobLayerOut(0)(36), L =>TobLayerOut(0)(37));
919 
920 
921  compExch_Layer_00_to_01_sites_38_39: compExch port map(A =>TobLayerIn(0)(38), B =>TobLayerIn(0)(39), H =>TobLayerOut(0)(38), L =>TobLayerOut(0)(39));
922 
923 
924  compExch_Layer_00_to_01_sites_40_41: compExch port map(A =>TobLayerIn(0)(40), B =>TobLayerIn(0)(41), H =>TobLayerOut(0)(40), L =>TobLayerOut(0)(41));
925 
926 
927  compExch_Layer_00_to_01_sites_42_43: compExch port map(A =>TobLayerIn(0)(42), B =>TobLayerIn(0)(43), H =>TobLayerOut(0)(42), L =>TobLayerOut(0)(43));
928 
929 
930  compExch_Layer_00_to_01_sites_44_45: compExch port map(A =>TobLayerIn(0)(44), B =>TobLayerIn(0)(45), H =>TobLayerOut(0)(44), L =>TobLayerOut(0)(45));
931 
932 
933  compExch_Layer_00_to_01_sites_46_47: compExch port map(A =>TobLayerIn(0)(46), B =>TobLayerIn(0)(47), H =>TobLayerOut(0)(46), L =>TobLayerOut(0)(47));
934 
935 
936  compExch_Layer_00_to_01_sites_48_49: compExch port map(A =>TobLayerIn(0)(48), B =>TobLayerIn(0)(49), H =>TobLayerOut(0)(48), L =>TobLayerOut(0)(49));
937 
938 
939  compExch_Layer_00_to_01_sites_50_51: compExch port map(A =>TobLayerIn(0)(50), B =>TobLayerIn(0)(51), H =>TobLayerOut(0)(50), L =>TobLayerOut(0)(51));
940 
941 
942  compExch_Layer_00_to_01_sites_52_53: compExch port map(A =>TobLayerIn(0)(52), B =>TobLayerIn(0)(53), H =>TobLayerOut(0)(52), L =>TobLayerOut(0)(53));
943 
944 
945  compExch_Layer_00_to_01_sites_54_55: compExch port map(A =>TobLayerIn(0)(54), B =>TobLayerIn(0)(55), H =>TobLayerOut(0)(54), L =>TobLayerOut(0)(55));
946 
947 
948  compExch_Layer_00_to_01_sites_56_57: compExch port map(A =>TobLayerIn(0)(56), B =>TobLayerIn(0)(57), H =>TobLayerOut(0)(56), L =>TobLayerOut(0)(57));
949 
950 
951  compExch_Layer_00_to_01_sites_58_59: compExch port map(A =>TobLayerIn(0)(58), B =>TobLayerIn(0)(59), H =>TobLayerOut(0)(58), L =>TobLayerOut(0)(59));
952 
953 
954  compExch_Layer_00_to_01_sites_60_61: compExch port map(A =>TobLayerIn(0)(60), B =>TobLayerIn(0)(61), H =>TobLayerOut(0)(60), L =>TobLayerOut(0)(61));
955 
956 
957  compExch_Layer_00_to_01_sites_62_63: compExch port map(A =>TobLayerIn(0)(62), B =>TobLayerIn(0)(63), H =>TobLayerOut(0)(62), L =>TobLayerOut(0)(63));
958 
959 
960  compExch_Layer_00_to_01_sites_64_65: compExch port map(A =>TobLayerIn(0)(64), B =>TobLayerIn(0)(65), H =>TobLayerOut(0)(64), L =>TobLayerOut(0)(65));
961 
962 
963  compExch_Layer_00_to_01_sites_66_67: compExch port map(A =>TobLayerIn(0)(66), B =>TobLayerIn(0)(67), H =>TobLayerOut(0)(66), L =>TobLayerOut(0)(67));
964 
965 
966  compExch_Layer_00_to_01_sites_68_69: compExch port map(A =>TobLayerIn(0)(68), B =>TobLayerIn(0)(69), H =>TobLayerOut(0)(68), L =>TobLayerOut(0)(69));
967 
968 
969 
970 
971 
972 
973 
974 
975 
976 
977 
978 
979 
980 
981 
982 
983 
984 
985 
986 
987 
988 
989 
990 
991 
992 
993 
994 
995 
996 
997 
998  compExch_Layer_01_to_02_sites_00_02: compExch port map(A =>TobLayerIn(1)(0), B =>TobLayerIn(1)(2), H =>TobLayerOut(1)(0), L =>TobLayerOut(1)(2));
999  compExch_Layer_01_to_02_sites_01_03: compExch port map(A =>TobLayerIn(1)(1), B =>TobLayerIn(1)(3), H =>TobLayerOut(1)(1), L =>TobLayerOut(1)(3));
1000 
1001 
1002  compExch_Layer_02_to_03_sites_01_02: compExch port map(A =>TobLayerIn(2)(1), B =>TobLayerIn(2)(2), H =>TobLayerOut(2)(1), L =>TobLayerOut(2)(2));
1003  TobLayerOut(2)(0)<=TobLayerIn(2)(0);
1004  TobLayerOut(2)(3)<=TobLayerIn(2)(3);
1005 
1006 
1007  compExch_Layer_01_to_02_sites_04_06: compExch port map(A =>TobLayerIn(1)(4), B =>TobLayerIn(1)(6), H =>TobLayerOut(1)(4), L =>TobLayerOut(1)(6));
1008  compExch_Layer_01_to_02_sites_05_07: compExch port map(A =>TobLayerIn(1)(5), B =>TobLayerIn(1)(7), H =>TobLayerOut(1)(5), L =>TobLayerOut(1)(7));
1009 
1010 
1011  compExch_Layer_02_to_03_sites_05_06: compExch port map(A =>TobLayerIn(2)(5), B =>TobLayerIn(2)(6), H =>TobLayerOut(2)(5), L =>TobLayerOut(2)(6));
1012  TobLayerOut(2)(4)<=TobLayerIn(2)(4);
1013  TobLayerOut(2)(7)<=TobLayerIn(2)(7);
1014 
1015 
1016  compExch_Layer_01_to_02_sites_08_10: compExch port map(A =>TobLayerIn(1)(8), B =>TobLayerIn(1)(10), H =>TobLayerOut(1)(8), L =>TobLayerOut(1)(10));
1017  compExch_Layer_01_to_02_sites_09_11: compExch port map(A =>TobLayerIn(1)(9), B =>TobLayerIn(1)(11), H =>TobLayerOut(1)(9), L =>TobLayerOut(1)(11));
1018 
1019 
1020  compExch_Layer_02_to_03_sites_09_10: compExch port map(A =>TobLayerIn(2)(9), B =>TobLayerIn(2)(10), H =>TobLayerOut(2)(9), L =>TobLayerOut(2)(10));
1021  TobLayerOut(2)(8)<=TobLayerIn(2)(8);
1022  TobLayerOut(2)(11)<=TobLayerIn(2)(11);
1023 
1024 
1025  compExch_Layer_01_to_02_sites_12_14: compExch port map(A =>TobLayerIn(1)(12), B =>TobLayerIn(1)(14), H =>TobLayerOut(1)(12), L =>TobLayerOut(1)(14));
1026  compExch_Layer_01_to_02_sites_13_15: compExch port map(A =>TobLayerIn(1)(13), B =>TobLayerIn(1)(15), H =>TobLayerOut(1)(13), L =>TobLayerOut(1)(15));
1027 
1028 
1029  compExch_Layer_02_to_03_sites_13_14: compExch port map(A =>TobLayerIn(2)(13), B =>TobLayerIn(2)(14), H =>TobLayerOut(2)(13), L =>TobLayerOut(2)(14));
1030  TobLayerOut(2)(12)<=TobLayerIn(2)(12);
1031  TobLayerOut(2)(15)<=TobLayerIn(2)(15);
1032 
1033 
1034  compExch_Layer_01_to_02_sites_16_18: compExch port map(A =>TobLayerIn(1)(16), B =>TobLayerIn(1)(18), H =>TobLayerOut(1)(16), L =>TobLayerOut(1)(18));
1035  compExch_Layer_01_to_02_sites_17_19: compExch port map(A =>TobLayerIn(1)(17), B =>TobLayerIn(1)(19), H =>TobLayerOut(1)(17), L =>TobLayerOut(1)(19));
1036 
1037 
1038  compExch_Layer_02_to_03_sites_17_18: compExch port map(A =>TobLayerIn(2)(17), B =>TobLayerIn(2)(18), H =>TobLayerOut(2)(17), L =>TobLayerOut(2)(18));
1039  TobLayerOut(2)(16)<=TobLayerIn(2)(16);
1040  TobLayerOut(2)(19)<=TobLayerIn(2)(19);
1041 
1042 
1043  compExch_Layer_01_to_02_sites_20_22: compExch port map(A =>TobLayerIn(1)(20), B =>TobLayerIn(1)(22), H =>TobLayerOut(1)(20), L =>TobLayerOut(1)(22));
1044  compExch_Layer_01_to_02_sites_21_23: compExch port map(A =>TobLayerIn(1)(21), B =>TobLayerIn(1)(23), H =>TobLayerOut(1)(21), L =>TobLayerOut(1)(23));
1045 
1046 
1047  compExch_Layer_02_to_03_sites_21_22: compExch port map(A =>TobLayerIn(2)(21), B =>TobLayerIn(2)(22), H =>TobLayerOut(2)(21), L =>TobLayerOut(2)(22));
1048  TobLayerOut(2)(20)<=TobLayerIn(2)(20);
1049  TobLayerOut(2)(23)<=TobLayerIn(2)(23);
1050 
1051 
1052  compExch_Layer_01_to_02_sites_24_26: compExch port map(A =>TobLayerIn(1)(24), B =>TobLayerIn(1)(26), H =>TobLayerOut(1)(24), L =>TobLayerOut(1)(26));
1053  compExch_Layer_01_to_02_sites_25_27: compExch port map(A =>TobLayerIn(1)(25), B =>TobLayerIn(1)(27), H =>TobLayerOut(1)(25), L =>TobLayerOut(1)(27));
1054 
1055 
1056  compExch_Layer_02_to_03_sites_25_26: compExch port map(A =>TobLayerIn(2)(25), B =>TobLayerIn(2)(26), H =>TobLayerOut(2)(25), L =>TobLayerOut(2)(26));
1057  TobLayerOut(2)(24)<=TobLayerIn(2)(24);
1058  TobLayerOut(2)(27)<=TobLayerIn(2)(27);
1059 
1060 
1061  compExch_Layer_01_to_02_sites_28_30: compExch port map(A =>TobLayerIn(1)(28), B =>TobLayerIn(1)(30), H =>TobLayerOut(1)(28), L =>TobLayerOut(1)(30));
1062  compExch_Layer_01_to_02_sites_29_31: compExch port map(A =>TobLayerIn(1)(29), B =>TobLayerIn(1)(31), H =>TobLayerOut(1)(29), L =>TobLayerOut(1)(31));
1063 
1064 
1065  compExch_Layer_02_to_03_sites_29_30: compExch port map(A =>TobLayerIn(2)(29), B =>TobLayerIn(2)(30), H =>TobLayerOut(2)(29), L =>TobLayerOut(2)(30));
1066  TobLayerOut(2)(28)<=TobLayerIn(2)(28);
1067  TobLayerOut(2)(31)<=TobLayerIn(2)(31);
1068 
1069 
1070  compExch_Layer_01_to_02_sites_32_34: compExch port map(A =>TobLayerIn(1)(32), B =>TobLayerIn(1)(34), H =>TobLayerOut(1)(32), L =>TobLayerOut(1)(34));
1071  compExch_Layer_01_to_02_sites_33_35: compExch port map(A =>TobLayerIn(1)(33), B =>TobLayerIn(1)(35), H =>TobLayerOut(1)(33), L =>TobLayerOut(1)(35));
1072 
1073 
1074  compExch_Layer_02_to_03_sites_33_34: compExch port map(A =>TobLayerIn(2)(33), B =>TobLayerIn(2)(34), H =>TobLayerOut(2)(33), L =>TobLayerOut(2)(34));
1075  TobLayerOut(2)(32)<=TobLayerIn(2)(32);
1076  TobLayerOut(2)(35)<=TobLayerIn(2)(35);
1077 
1078 
1079  compExch_Layer_01_to_02_sites_36_38: compExch port map(A =>TobLayerIn(1)(36), B =>TobLayerIn(1)(38), H =>TobLayerOut(1)(36), L =>TobLayerOut(1)(38));
1080  compExch_Layer_01_to_02_sites_37_39: compExch port map(A =>TobLayerIn(1)(37), B =>TobLayerIn(1)(39), H =>TobLayerOut(1)(37), L =>TobLayerOut(1)(39));
1081 
1082 
1083  compExch_Layer_02_to_03_sites_37_38: compExch port map(A =>TobLayerIn(2)(37), B =>TobLayerIn(2)(38), H =>TobLayerOut(2)(37), L =>TobLayerOut(2)(38));
1084  TobLayerOut(2)(36)<=TobLayerIn(2)(36);
1085  TobLayerOut(2)(39)<=TobLayerIn(2)(39);
1086 
1087 
1088  compExch_Layer_01_to_02_sites_40_42: compExch port map(A =>TobLayerIn(1)(40), B =>TobLayerIn(1)(42), H =>TobLayerOut(1)(40), L =>TobLayerOut(1)(42));
1089  compExch_Layer_01_to_02_sites_41_43: compExch port map(A =>TobLayerIn(1)(41), B =>TobLayerIn(1)(43), H =>TobLayerOut(1)(41), L =>TobLayerOut(1)(43));
1090 
1091 
1092  compExch_Layer_02_to_03_sites_41_42: compExch port map(A =>TobLayerIn(2)(41), B =>TobLayerIn(2)(42), H =>TobLayerOut(2)(41), L =>TobLayerOut(2)(42));
1093  TobLayerOut(2)(40)<=TobLayerIn(2)(40);
1094  TobLayerOut(2)(43)<=TobLayerIn(2)(43);
1095 
1096 
1097  compExch_Layer_01_to_02_sites_44_46: compExch port map(A =>TobLayerIn(1)(44), B =>TobLayerIn(1)(46), H =>TobLayerOut(1)(44), L =>TobLayerOut(1)(46));
1098  compExch_Layer_01_to_02_sites_45_47: compExch port map(A =>TobLayerIn(1)(45), B =>TobLayerIn(1)(47), H =>TobLayerOut(1)(45), L =>TobLayerOut(1)(47));
1099 
1100 
1101  compExch_Layer_02_to_03_sites_45_46: compExch port map(A =>TobLayerIn(2)(45), B =>TobLayerIn(2)(46), H =>TobLayerOut(2)(45), L =>TobLayerOut(2)(46));
1102  TobLayerOut(2)(44)<=TobLayerIn(2)(44);
1103  TobLayerOut(2)(47)<=TobLayerIn(2)(47);
1104 
1105 
1106  compExch_Layer_01_to_02_sites_48_50: compExch port map(A =>TobLayerIn(1)(48), B =>TobLayerIn(1)(50), H =>TobLayerOut(1)(48), L =>TobLayerOut(1)(50));
1107  compExch_Layer_01_to_02_sites_49_51: compExch port map(A =>TobLayerIn(1)(49), B =>TobLayerIn(1)(51), H =>TobLayerOut(1)(49), L =>TobLayerOut(1)(51));
1108 
1109 
1110  compExch_Layer_02_to_03_sites_49_50: compExch port map(A =>TobLayerIn(2)(49), B =>TobLayerIn(2)(50), H =>TobLayerOut(2)(49), L =>TobLayerOut(2)(50));
1111  TobLayerOut(2)(48)<=TobLayerIn(2)(48);
1112  TobLayerOut(2)(51)<=TobLayerIn(2)(51);
1113 
1114 
1115  compExch_Layer_01_to_02_sites_52_54: compExch port map(A =>TobLayerIn(1)(52), B =>TobLayerIn(1)(54), H =>TobLayerOut(1)(52), L =>TobLayerOut(1)(54));
1116  compExch_Layer_01_to_02_sites_53_55: compExch port map(A =>TobLayerIn(1)(53), B =>TobLayerIn(1)(55), H =>TobLayerOut(1)(53), L =>TobLayerOut(1)(55));
1117 
1118 
1119  compExch_Layer_02_to_03_sites_53_54: compExch port map(A =>TobLayerIn(2)(53), B =>TobLayerIn(2)(54), H =>TobLayerOut(2)(53), L =>TobLayerOut(2)(54));
1120  TobLayerOut(2)(52)<=TobLayerIn(2)(52);
1121  TobLayerOut(2)(55)<=TobLayerIn(2)(55);
1122 
1123 
1124  compExch_Layer_01_to_02_sites_56_58: compExch port map(A =>TobLayerIn(1)(56), B =>TobLayerIn(1)(58), H =>TobLayerOut(1)(56), L =>TobLayerOut(1)(58));
1125  compExch_Layer_01_to_02_sites_57_59: compExch port map(A =>TobLayerIn(1)(57), B =>TobLayerIn(1)(59), H =>TobLayerOut(1)(57), L =>TobLayerOut(1)(59));
1126 
1127 
1128  compExch_Layer_02_to_03_sites_57_58: compExch port map(A =>TobLayerIn(2)(57), B =>TobLayerIn(2)(58), H =>TobLayerOut(2)(57), L =>TobLayerOut(2)(58));
1129  TobLayerOut(2)(56)<=TobLayerIn(2)(56);
1130  TobLayerOut(2)(59)<=TobLayerIn(2)(59);
1131 
1132 
1133  compExch_Layer_01_to_02_sites_60_62: compExch port map(A =>TobLayerIn(1)(60), B =>TobLayerIn(1)(62), H =>TobLayerOut(1)(60), L =>TobLayerOut(1)(62));
1134  compExch_Layer_01_to_02_sites_61_63: compExch port map(A =>TobLayerIn(1)(61), B =>TobLayerIn(1)(63), H =>TobLayerOut(1)(61), L =>TobLayerOut(1)(63));
1135 
1136 
1137  compExch_Layer_02_to_03_sites_61_62: compExch port map(A =>TobLayerIn(2)(61), B =>TobLayerIn(2)(62), H =>TobLayerOut(2)(61), L =>TobLayerOut(2)(62));
1138  TobLayerOut(2)(60)<=TobLayerIn(2)(60);
1139  TobLayerOut(2)(63)<=TobLayerIn(2)(63);
1140 
1141 
1142  compExch_Layer_01_to_02_sites_64_66: compExch port map(A =>TobLayerIn(1)(64), B =>TobLayerIn(1)(66), H =>TobLayerOut(1)(64), L =>TobLayerOut(1)(66));
1143  compExch_Layer_01_to_02_sites_65_67: compExch port map(A =>TobLayerIn(1)(65), B =>TobLayerIn(1)(67), H =>TobLayerOut(1)(65), L =>TobLayerOut(1)(67));
1144 
1145 
1146  compExch_Layer_02_to_03_sites_65_66: compExch port map(A =>TobLayerIn(2)(65), B =>TobLayerIn(2)(66), H =>TobLayerOut(2)(65), L =>TobLayerOut(2)(66));
1147  TobLayerOut(2)(64)<=TobLayerIn(2)(64);
1148  TobLayerOut(2)(67)<=TobLayerIn(2)(67);
1149 
1150 
1151  TobLayerOut(1)(68)<=TobLayerIn(1)(68);
1152  TobLayerOut(1)(69)<=TobLayerIn(1)(69);
1153 
1154  TobLayerOut(2)(68)<=TobLayerIn(2)(68);
1155  TobLayerOut(2)(69)<=TobLayerIn(2)(69);
1156 
1157 
1158 
1159 
1160 
1161 
1162 
1163 
1164 
1165 
1166 
1167 
1168 
1169 
1170 
1171 
1172 
1173 
1174 
1175 
1176 
1177 
1178 
1179 
1180 
1181 
1182 
1183 
1184 
1185  compExch_Layer_03_to_04_sites_00_04: compExch port map(A =>TobLayerIn(3)(0), B =>TobLayerIn(3)(4), H =>TobLayerOut(3)(0), L =>TobLayerOut(3)(4));
1186  compExch_Layer_03_to_04_sites_01_05: compExch port map(A =>TobLayerIn(3)(1), B =>TobLayerIn(3)(5), H =>TobLayerOut(3)(1), L =>TobLayerOut(3)(5));
1187  compExch_Layer_03_to_04_sites_02_06: compExch port map(A =>TobLayerIn(3)(2), B =>TobLayerIn(3)(6), H =>TobLayerOut(3)(2), L =>TobLayerOut(3)(6));
1188  compExch_Layer_03_to_04_sites_03_07: compExch port map(A =>TobLayerIn(3)(3), B =>TobLayerIn(3)(7), H =>TobLayerOut(3)(3), L =>TobLayerOut(3)(7));
1189 
1190 
1191  compExch_Layer_04_to_05_sites_02_04: compExch port map(A =>TobLayerIn(4)(2), B =>TobLayerIn(4)(4), H =>TobLayerOut(4)(2), L =>TobLayerOut(4)(4));
1192  compExch_Layer_04_to_05_sites_03_05: compExch port map(A =>TobLayerIn(4)(3), B =>TobLayerIn(4)(5), H =>TobLayerOut(4)(3), L =>TobLayerOut(4)(5));
1193  TobLayerOut(4)(0)<=TobLayerIn(4)(0);
1194  TobLayerOut(4)(1)<=TobLayerIn(4)(1);
1195  TobLayerOut(4)(6)<=TobLayerIn(4)(6);
1196  TobLayerOut(4)(7)<=TobLayerIn(4)(7);
1197 
1198 
1199  compExch_Layer_05_to_06_sites_01_02: compExch port map(A =>TobLayerIn(5)(1), B =>TobLayerIn(5)(2), H =>TobLayerOut(5)(1), L =>TobLayerOut(5)(2));
1200  compExch_Layer_05_to_06_sites_03_04: compExch port map(A =>TobLayerIn(5)(3), B =>TobLayerIn(5)(4), H =>TobLayerOut(5)(3), L =>TobLayerOut(5)(4));
1201  compExch_Layer_05_to_06_sites_05_06: compExch port map(A =>TobLayerIn(5)(5), B =>TobLayerIn(5)(6), H =>TobLayerOut(5)(5), L =>TobLayerOut(5)(6));
1202  TobLayerOut(5)(0)<=TobLayerIn(5)(0);
1203  TobLayerOut(5)(7)<=TobLayerIn(5)(7);
1204 
1205 
1206  compExch_Layer_03_to_04_sites_08_12: compExch port map(A =>TobLayerIn(3)(8), B =>TobLayerIn(3)(12), H =>TobLayerOut(3)(8), L =>TobLayerOut(3)(12));
1207  compExch_Layer_03_to_04_sites_09_13: compExch port map(A =>TobLayerIn(3)(9), B =>TobLayerIn(3)(13), H =>TobLayerOut(3)(9), L =>TobLayerOut(3)(13));
1208  compExch_Layer_03_to_04_sites_10_14: compExch port map(A =>TobLayerIn(3)(10), B =>TobLayerIn(3)(14), H =>TobLayerOut(3)(10), L =>TobLayerOut(3)(14));
1209  compExch_Layer_03_to_04_sites_11_15: compExch port map(A =>TobLayerIn(3)(11), B =>TobLayerIn(3)(15), H =>TobLayerOut(3)(11), L =>TobLayerOut(3)(15));
1210 
1211 
1212  compExch_Layer_04_to_05_sites_10_12: compExch port map(A =>TobLayerIn(4)(10), B =>TobLayerIn(4)(12), H =>TobLayerOut(4)(10), L =>TobLayerOut(4)(12));
1213  compExch_Layer_04_to_05_sites_11_13: compExch port map(A =>TobLayerIn(4)(11), B =>TobLayerIn(4)(13), H =>TobLayerOut(4)(11), L =>TobLayerOut(4)(13));
1214  TobLayerOut(4)(8)<=TobLayerIn(4)(8);
1215  TobLayerOut(4)(9)<=TobLayerIn(4)(9);
1216  TobLayerOut(4)(14)<=TobLayerIn(4)(14);
1217  TobLayerOut(4)(15)<=TobLayerIn(4)(15);
1218 
1219 
1220  compExch_Layer_05_to_06_sites_09_10: compExch port map(A =>TobLayerIn(5)(9), B =>TobLayerIn(5)(10), H =>TobLayerOut(5)(9), L =>TobLayerOut(5)(10));
1221  compExch_Layer_05_to_06_sites_11_12: compExch port map(A =>TobLayerIn(5)(11), B =>TobLayerIn(5)(12), H =>TobLayerOut(5)(11), L =>TobLayerOut(5)(12));
1222  compExch_Layer_05_to_06_sites_13_14: compExch port map(A =>TobLayerIn(5)(13), B =>TobLayerIn(5)(14), H =>TobLayerOut(5)(13), L =>TobLayerOut(5)(14));
1223  TobLayerOut(5)(8)<=TobLayerIn(5)(8);
1224  TobLayerOut(5)(15)<=TobLayerIn(5)(15);
1225 
1226 
1227  compExch_Layer_03_to_04_sites_16_20: compExch port map(A =>TobLayerIn(3)(16), B =>TobLayerIn(3)(20), H =>TobLayerOut(3)(16), L =>TobLayerOut(3)(20));
1228  compExch_Layer_03_to_04_sites_17_21: compExch port map(A =>TobLayerIn(3)(17), B =>TobLayerIn(3)(21), H =>TobLayerOut(3)(17), L =>TobLayerOut(3)(21));
1229  compExch_Layer_03_to_04_sites_18_22: compExch port map(A =>TobLayerIn(3)(18), B =>TobLayerIn(3)(22), H =>TobLayerOut(3)(18), L =>TobLayerOut(3)(22));
1230  compExch_Layer_03_to_04_sites_19_23: compExch port map(A =>TobLayerIn(3)(19), B =>TobLayerIn(3)(23), H =>TobLayerOut(3)(19), L =>TobLayerOut(3)(23));
1231 
1232 
1233  compExch_Layer_04_to_05_sites_18_20: compExch port map(A =>TobLayerIn(4)(18), B =>TobLayerIn(4)(20), H =>TobLayerOut(4)(18), L =>TobLayerOut(4)(20));
1234  compExch_Layer_04_to_05_sites_19_21: compExch port map(A =>TobLayerIn(4)(19), B =>TobLayerIn(4)(21), H =>TobLayerOut(4)(19), L =>TobLayerOut(4)(21));
1235  TobLayerOut(4)(16)<=TobLayerIn(4)(16);
1236  TobLayerOut(4)(17)<=TobLayerIn(4)(17);
1237  TobLayerOut(4)(22)<=TobLayerIn(4)(22);
1238  TobLayerOut(4)(23)<=TobLayerIn(4)(23);
1239 
1240 
1241  compExch_Layer_05_to_06_sites_17_18: compExch port map(A =>TobLayerIn(5)(17), B =>TobLayerIn(5)(18), H =>TobLayerOut(5)(17), L =>TobLayerOut(5)(18));
1242  compExch_Layer_05_to_06_sites_19_20: compExch port map(A =>TobLayerIn(5)(19), B =>TobLayerIn(5)(20), H =>TobLayerOut(5)(19), L =>TobLayerOut(5)(20));
1243  compExch_Layer_05_to_06_sites_21_22: compExch port map(A =>TobLayerIn(5)(21), B =>TobLayerIn(5)(22), H =>TobLayerOut(5)(21), L =>TobLayerOut(5)(22));
1244  TobLayerOut(5)(16)<=TobLayerIn(5)(16);
1245  TobLayerOut(5)(23)<=TobLayerIn(5)(23);
1246 
1247 
1248  compExch_Layer_03_to_04_sites_24_28: compExch port map(A =>TobLayerIn(3)(24), B =>TobLayerIn(3)(28), H =>TobLayerOut(3)(24), L =>TobLayerOut(3)(28));
1249  compExch_Layer_03_to_04_sites_25_29: compExch port map(A =>TobLayerIn(3)(25), B =>TobLayerIn(3)(29), H =>TobLayerOut(3)(25), L =>TobLayerOut(3)(29));
1250  compExch_Layer_03_to_04_sites_26_30: compExch port map(A =>TobLayerIn(3)(26), B =>TobLayerIn(3)(30), H =>TobLayerOut(3)(26), L =>TobLayerOut(3)(30));
1251  compExch_Layer_03_to_04_sites_27_31: compExch port map(A =>TobLayerIn(3)(27), B =>TobLayerIn(3)(31), H =>TobLayerOut(3)(27), L =>TobLayerOut(3)(31));
1252 
1253 
1254  compExch_Layer_04_to_05_sites_26_28: compExch port map(A =>TobLayerIn(4)(26), B =>TobLayerIn(4)(28), H =>TobLayerOut(4)(26), L =>TobLayerOut(4)(28));
1255  compExch_Layer_04_to_05_sites_27_29: compExch port map(A =>TobLayerIn(4)(27), B =>TobLayerIn(4)(29), H =>TobLayerOut(4)(27), L =>TobLayerOut(4)(29));
1256  TobLayerOut(4)(24)<=TobLayerIn(4)(24);
1257  TobLayerOut(4)(25)<=TobLayerIn(4)(25);
1258  TobLayerOut(4)(30)<=TobLayerIn(4)(30);
1259  TobLayerOut(4)(31)<=TobLayerIn(4)(31);
1260 
1261 
1262  compExch_Layer_05_to_06_sites_25_26: compExch port map(A =>TobLayerIn(5)(25), B =>TobLayerIn(5)(26), H =>TobLayerOut(5)(25), L =>TobLayerOut(5)(26));
1263  compExch_Layer_05_to_06_sites_27_28: compExch port map(A =>TobLayerIn(5)(27), B =>TobLayerIn(5)(28), H =>TobLayerOut(5)(27), L =>TobLayerOut(5)(28));
1264  compExch_Layer_05_to_06_sites_29_30: compExch port map(A =>TobLayerIn(5)(29), B =>TobLayerIn(5)(30), H =>TobLayerOut(5)(29), L =>TobLayerOut(5)(30));
1265  TobLayerOut(5)(24)<=TobLayerIn(5)(24);
1266  TobLayerOut(5)(31)<=TobLayerIn(5)(31);
1267 
1268 
1269  compExch_Layer_03_to_04_sites_32_36: compExch port map(A =>TobLayerIn(3)(32), B =>TobLayerIn(3)(36), H =>TobLayerOut(3)(32), L =>TobLayerOut(3)(36));
1270  compExch_Layer_03_to_04_sites_33_37: compExch port map(A =>TobLayerIn(3)(33), B =>TobLayerIn(3)(37), H =>TobLayerOut(3)(33), L =>TobLayerOut(3)(37));
1271  compExch_Layer_03_to_04_sites_34_38: compExch port map(A =>TobLayerIn(3)(34), B =>TobLayerIn(3)(38), H =>TobLayerOut(3)(34), L =>TobLayerOut(3)(38));
1272  compExch_Layer_03_to_04_sites_35_39: compExch port map(A =>TobLayerIn(3)(35), B =>TobLayerIn(3)(39), H =>TobLayerOut(3)(35), L =>TobLayerOut(3)(39));
1273 
1274 
1275  compExch_Layer_04_to_05_sites_34_36: compExch port map(A =>TobLayerIn(4)(34), B =>TobLayerIn(4)(36), H =>TobLayerOut(4)(34), L =>TobLayerOut(4)(36));
1276  compExch_Layer_04_to_05_sites_35_37: compExch port map(A =>TobLayerIn(4)(35), B =>TobLayerIn(4)(37), H =>TobLayerOut(4)(35), L =>TobLayerOut(4)(37));
1277  TobLayerOut(4)(32)<=TobLayerIn(4)(32);
1278  TobLayerOut(4)(33)<=TobLayerIn(4)(33);
1279  TobLayerOut(4)(38)<=TobLayerIn(4)(38);
1280  TobLayerOut(4)(39)<=TobLayerIn(4)(39);
1281 
1282 
1283  compExch_Layer_05_to_06_sites_33_34: compExch port map(A =>TobLayerIn(5)(33), B =>TobLayerIn(5)(34), H =>TobLayerOut(5)(33), L =>TobLayerOut(5)(34));
1284  compExch_Layer_05_to_06_sites_35_36: compExch port map(A =>TobLayerIn(5)(35), B =>TobLayerIn(5)(36), H =>TobLayerOut(5)(35), L =>TobLayerOut(5)(36));
1285  compExch_Layer_05_to_06_sites_37_38: compExch port map(A =>TobLayerIn(5)(37), B =>TobLayerIn(5)(38), H =>TobLayerOut(5)(37), L =>TobLayerOut(5)(38));
1286  TobLayerOut(5)(32)<=TobLayerIn(5)(32);
1287  TobLayerOut(5)(39)<=TobLayerIn(5)(39);
1288 
1289 
1290  compExch_Layer_03_to_04_sites_40_44: compExch port map(A =>TobLayerIn(3)(40), B =>TobLayerIn(3)(44), H =>TobLayerOut(3)(40), L =>TobLayerOut(3)(44));
1291  compExch_Layer_03_to_04_sites_41_45: compExch port map(A =>TobLayerIn(3)(41), B =>TobLayerIn(3)(45), H =>TobLayerOut(3)(41), L =>TobLayerOut(3)(45));
1292  compExch_Layer_03_to_04_sites_42_46: compExch port map(A =>TobLayerIn(3)(42), B =>TobLayerIn(3)(46), H =>TobLayerOut(3)(42), L =>TobLayerOut(3)(46));
1293  compExch_Layer_03_to_04_sites_43_47: compExch port map(A =>TobLayerIn(3)(43), B =>TobLayerIn(3)(47), H =>TobLayerOut(3)(43), L =>TobLayerOut(3)(47));
1294 
1295 
1296  compExch_Layer_04_to_05_sites_42_44: compExch port map(A =>TobLayerIn(4)(42), B =>TobLayerIn(4)(44), H =>TobLayerOut(4)(42), L =>TobLayerOut(4)(44));
1297  compExch_Layer_04_to_05_sites_43_45: compExch port map(A =>TobLayerIn(4)(43), B =>TobLayerIn(4)(45), H =>TobLayerOut(4)(43), L =>TobLayerOut(4)(45));
1298  TobLayerOut(4)(40)<=TobLayerIn(4)(40);
1299  TobLayerOut(4)(41)<=TobLayerIn(4)(41);
1300  TobLayerOut(4)(46)<=TobLayerIn(4)(46);
1301  TobLayerOut(4)(47)<=TobLayerIn(4)(47);
1302 
1303 
1304  compExch_Layer_05_to_06_sites_41_42: compExch port map(A =>TobLayerIn(5)(41), B =>TobLayerIn(5)(42), H =>TobLayerOut(5)(41), L =>TobLayerOut(5)(42));
1305  compExch_Layer_05_to_06_sites_43_44: compExch port map(A =>TobLayerIn(5)(43), B =>TobLayerIn(5)(44), H =>TobLayerOut(5)(43), L =>TobLayerOut(5)(44));
1306  compExch_Layer_05_to_06_sites_45_46: compExch port map(A =>TobLayerIn(5)(45), B =>TobLayerIn(5)(46), H =>TobLayerOut(5)(45), L =>TobLayerOut(5)(46));
1307  TobLayerOut(5)(40)<=TobLayerIn(5)(40);
1308  TobLayerOut(5)(47)<=TobLayerIn(5)(47);
1309 
1310 
1311  compExch_Layer_03_to_04_sites_48_52: compExch port map(A =>TobLayerIn(3)(48), B =>TobLayerIn(3)(52), H =>TobLayerOut(3)(48), L =>TobLayerOut(3)(52));
1312  compExch_Layer_03_to_04_sites_49_53: compExch port map(A =>TobLayerIn(3)(49), B =>TobLayerIn(3)(53), H =>TobLayerOut(3)(49), L =>TobLayerOut(3)(53));
1313  compExch_Layer_03_to_04_sites_50_54: compExch port map(A =>TobLayerIn(3)(50), B =>TobLayerIn(3)(54), H =>TobLayerOut(3)(50), L =>TobLayerOut(3)(54));
1314  compExch_Layer_03_to_04_sites_51_55: compExch port map(A =>TobLayerIn(3)(51), B =>TobLayerIn(3)(55), H =>TobLayerOut(3)(51), L =>TobLayerOut(3)(55));
1315 
1316 
1317  compExch_Layer_04_to_05_sites_50_52: compExch port map(A =>TobLayerIn(4)(50), B =>TobLayerIn(4)(52), H =>TobLayerOut(4)(50), L =>TobLayerOut(4)(52));
1318  compExch_Layer_04_to_05_sites_51_53: compExch port map(A =>TobLayerIn(4)(51), B =>TobLayerIn(4)(53), H =>TobLayerOut(4)(51), L =>TobLayerOut(4)(53));
1319  TobLayerOut(4)(48)<=TobLayerIn(4)(48);
1320  TobLayerOut(4)(49)<=TobLayerIn(4)(49);
1321  TobLayerOut(4)(54)<=TobLayerIn(4)(54);
1322  TobLayerOut(4)(55)<=TobLayerIn(4)(55);
1323 
1324 
1325  compExch_Layer_05_to_06_sites_49_50: compExch port map(A =>TobLayerIn(5)(49), B =>TobLayerIn(5)(50), H =>TobLayerOut(5)(49), L =>TobLayerOut(5)(50));
1326  compExch_Layer_05_to_06_sites_51_52: compExch port map(A =>TobLayerIn(5)(51), B =>TobLayerIn(5)(52), H =>TobLayerOut(5)(51), L =>TobLayerOut(5)(52));
1327  compExch_Layer_05_to_06_sites_53_54: compExch port map(A =>TobLayerIn(5)(53), B =>TobLayerIn(5)(54), H =>TobLayerOut(5)(53), L =>TobLayerOut(5)(54));
1328  TobLayerOut(5)(48)<=TobLayerIn(5)(48);
1329  TobLayerOut(5)(55)<=TobLayerIn(5)(55);
1330 
1331 
1332  compExch_Layer_03_to_04_sites_56_60: compExch port map(A =>TobLayerIn(3)(56), B =>TobLayerIn(3)(60), H =>TobLayerOut(3)(56), L =>TobLayerOut(3)(60));
1333  compExch_Layer_03_to_04_sites_57_61: compExch port map(A =>TobLayerIn(3)(57), B =>TobLayerIn(3)(61), H =>TobLayerOut(3)(57), L =>TobLayerOut(3)(61));
1334  compExch_Layer_03_to_04_sites_58_62: compExch port map(A =>TobLayerIn(3)(58), B =>TobLayerIn(3)(62), H =>TobLayerOut(3)(58), L =>TobLayerOut(3)(62));
1335  compExch_Layer_03_to_04_sites_59_63: compExch port map(A =>TobLayerIn(3)(59), B =>TobLayerIn(3)(63), H =>TobLayerOut(3)(59), L =>TobLayerOut(3)(63));
1336 
1337 
1338  compExch_Layer_04_to_05_sites_58_60: compExch port map(A =>TobLayerIn(4)(58), B =>TobLayerIn(4)(60), H =>TobLayerOut(4)(58), L =>TobLayerOut(4)(60));
1339  compExch_Layer_04_to_05_sites_59_61: compExch port map(A =>TobLayerIn(4)(59), B =>TobLayerIn(4)(61), H =>TobLayerOut(4)(59), L =>TobLayerOut(4)(61));
1340  TobLayerOut(4)(56)<=TobLayerIn(4)(56);
1341  TobLayerOut(4)(57)<=TobLayerIn(4)(57);
1342  TobLayerOut(4)(62)<=TobLayerIn(4)(62);
1343  TobLayerOut(4)(63)<=TobLayerIn(4)(63);
1344 
1345 
1346  compExch_Layer_05_to_06_sites_57_58: compExch port map(A =>TobLayerIn(5)(57), B =>TobLayerIn(5)(58), H =>TobLayerOut(5)(57), L =>TobLayerOut(5)(58));
1347  compExch_Layer_05_to_06_sites_59_60: compExch port map(A =>TobLayerIn(5)(59), B =>TobLayerIn(5)(60), H =>TobLayerOut(5)(59), L =>TobLayerOut(5)(60));
1348  compExch_Layer_05_to_06_sites_61_62: compExch port map(A =>TobLayerIn(5)(61), B =>TobLayerIn(5)(62), H =>TobLayerOut(5)(61), L =>TobLayerOut(5)(62));
1349  TobLayerOut(5)(56)<=TobLayerIn(5)(56);
1350  TobLayerOut(5)(63)<=TobLayerIn(5)(63);
1351 
1352 
1353  compExch_Layer_03_to_04_sites_64_68: compExch port map(A =>TobLayerIn(3)(64), B =>TobLayerIn(3)(68), H =>TobLayerOut(3)(64), L =>TobLayerOut(3)(68));
1354  compExch_Layer_03_to_04_sites_65_69: compExch port map(A =>TobLayerIn(3)(65), B =>TobLayerIn(3)(69), H =>TobLayerOut(3)(65), L =>TobLayerOut(3)(69));
1355  TobLayerOut(3)(66)<=TobLayerIn(3)(66);
1356  TobLayerOut(3)(67)<=TobLayerIn(3)(67);
1357 
1358 
1359  compExch_Layer_04_to_05_sites_66_68: compExch port map(A =>TobLayerIn(4)(66), B =>TobLayerIn(4)(68), H =>TobLayerOut(4)(66), L =>TobLayerOut(4)(68));
1360  compExch_Layer_04_to_05_sites_67_69: compExch port map(A =>TobLayerIn(4)(67), B =>TobLayerIn(4)(69), H =>TobLayerOut(4)(67), L =>TobLayerOut(4)(69));
1361  TobLayerOut(4)(64)<=TobLayerIn(4)(64);
1362  TobLayerOut(4)(65)<=TobLayerIn(4)(65);
1363 
1364 
1365  compExch_Layer_05_to_06_sites_65_66: compExch port map(A =>TobLayerIn(5)(65), B =>TobLayerIn(5)(66), H =>TobLayerOut(5)(65), L =>TobLayerOut(5)(66));
1366  compExch_Layer_05_to_06_sites_67_68: compExch port map(A =>TobLayerIn(5)(67), B =>TobLayerIn(5)(68), H =>TobLayerOut(5)(67), L =>TobLayerOut(5)(68));
1367  TobLayerOut(5)(64)<=TobLayerIn(5)(64);
1368  TobLayerOut(5)(69)<=TobLayerIn(5)(69);
1369 
1370 
1371 
1372 
1373 
1374 
1375 
1376 
1377 
1378 
1379 
1380 
1381 
1382 
1383 
1384 
1385 
1386 
1387 
1388 
1389 
1390 
1391 
1392  compExch_Layer_06_to_07_sites_00_08: compExch port map(A =>TobLayerIn(6)(0), B =>TobLayerIn(6)(8), H =>TobLayerOut(6)(0), L =>TobLayerOut(6)(8));
1393  compExch_Layer_06_to_07_sites_01_09: compExch port map(A =>TobLayerIn(6)(1), B =>TobLayerIn(6)(9), H =>TobLayerOut(6)(1), L =>TobLayerOut(6)(9));
1394  compExch_Layer_06_to_07_sites_02_10: compExch port map(A =>TobLayerIn(6)(2), B =>TobLayerIn(6)(10), H =>TobLayerOut(6)(2), L =>TobLayerOut(6)(10));
1395  compExch_Layer_06_to_07_sites_03_11: compExch port map(A =>TobLayerIn(6)(3), B =>TobLayerIn(6)(11), H =>TobLayerOut(6)(3), L =>TobLayerOut(6)(11));
1396  compExch_Layer_06_to_07_sites_04_12: compExch port map(A =>TobLayerIn(6)(4), B =>TobLayerIn(6)(12), H =>TobLayerOut(6)(4), L =>TobLayerOut(6)(12));
1397  compExch_Layer_06_to_07_sites_05_13: compExch port map(A =>TobLayerIn(6)(5), B =>TobLayerIn(6)(13), H =>TobLayerOut(6)(5), L =>TobLayerOut(6)(13));
1398  compExch_Layer_06_to_07_sites_06_14: compExch port map(A =>TobLayerIn(6)(6), B =>TobLayerIn(6)(14), H =>TobLayerOut(6)(6), L =>TobLayerOut(6)(14));
1399  compExch_Layer_06_to_07_sites_07_15: compExch port map(A =>TobLayerIn(6)(7), B =>TobLayerIn(6)(15), H =>TobLayerOut(6)(7), L =>TobLayerOut(6)(15));
1400 
1401 
1402  compExch_Layer_07_to_08_sites_04_08: compExch port map(A =>TobLayerIn(7)(4), B =>TobLayerIn(7)(8), H =>TobLayerOut(7)(4), L =>TobLayerOut(7)(8));
1403  compExch_Layer_07_to_08_sites_05_09: compExch port map(A =>TobLayerIn(7)(5), B =>TobLayerIn(7)(9), H =>TobLayerOut(7)(5), L =>TobLayerOut(7)(9));
1404  compExch_Layer_07_to_08_sites_06_10: compExch port map(A =>TobLayerIn(7)(6), B =>TobLayerIn(7)(10), H =>TobLayerOut(7)(6), L =>TobLayerOut(7)(10));
1405  compExch_Layer_07_to_08_sites_07_11: compExch port map(A =>TobLayerIn(7)(7), B =>TobLayerIn(7)(11), H =>TobLayerOut(7)(7), L =>TobLayerOut(7)(11));
1406  TobLayerOut(7)(0)<=TobLayerIn(7)(0);
1407  TobLayerOut(7)(1)<=TobLayerIn(7)(1);
1408  TobLayerOut(7)(2)<=TobLayerIn(7)(2);
1409  TobLayerOut(7)(3)<=TobLayerIn(7)(3);
1410  TobLayerOut(7)(12)<=TobLayerIn(7)(12);
1411  TobLayerOut(7)(13)<=TobLayerIn(7)(13);
1412  TobLayerOut(7)(14)<=TobLayerIn(7)(14);
1413  TobLayerOut(7)(15)<=TobLayerIn(7)(15);
1414 
1415 
1416  compExch_Layer_08_to_09_sites_02_04: compExch port map(A =>TobLayerIn(8)(2), B =>TobLayerIn(8)(4), H =>TobLayerOut(8)(2), L =>TobLayerOut(8)(4));
1417  compExch_Layer_08_to_09_sites_03_05: compExch port map(A =>TobLayerIn(8)(3), B =>TobLayerIn(8)(5), H =>TobLayerOut(8)(3), L =>TobLayerOut(8)(5));
1418  compExch_Layer_08_to_09_sites_06_08: compExch port map(A =>TobLayerIn(8)(6), B =>TobLayerIn(8)(8), H =>TobLayerOut(8)(6), L =>TobLayerOut(8)(8));
1419  compExch_Layer_08_to_09_sites_07_09: compExch port map(A =>TobLayerIn(8)(7), B =>TobLayerIn(8)(9), H =>TobLayerOut(8)(7), L =>TobLayerOut(8)(9));
1420  compExch_Layer_08_to_09_sites_10_12: compExch port map(A =>TobLayerIn(8)(10), B =>TobLayerIn(8)(12), H =>TobLayerOut(8)(10), L =>TobLayerOut(8)(12));
1421  compExch_Layer_08_to_09_sites_11_13: compExch port map(A =>TobLayerIn(8)(11), B =>TobLayerIn(8)(13), H =>TobLayerOut(8)(11), L =>TobLayerOut(8)(13));
1422  TobLayerOut(8)(0)<=TobLayerIn(8)(0);
1423  TobLayerOut(8)(1)<=TobLayerIn(8)(1);
1424  TobLayerOut(8)(14)<=TobLayerIn(8)(14);
1425  TobLayerOut(8)(15)<=TobLayerIn(8)(15);
1426 
1427 
1428  compExch_Layer_09_to_10_sites_01_02: compExch port map(A =>TobLayerIn(9)(1), B =>TobLayerIn(9)(2), H =>TobLayerOut(9)(1), L =>TobLayerOut(9)(2));
1429  compExch_Layer_09_to_10_sites_03_04: compExch port map(A =>TobLayerIn(9)(3), B =>TobLayerIn(9)(4), H =>TobLayerOut(9)(3), L =>TobLayerOut(9)(4));
1430  compExch_Layer_09_to_10_sites_05_06: compExch port map(A =>TobLayerIn(9)(5), B =>TobLayerIn(9)(6), H =>TobLayerOut(9)(5), L =>TobLayerOut(9)(6));
1431  compExch_Layer_09_to_10_sites_07_08: compExch port map(A =>TobLayerIn(9)(7), B =>TobLayerIn(9)(8), H =>TobLayerOut(9)(7), L =>TobLayerOut(9)(8));
1432  compExch_Layer_09_to_10_sites_09_10: compExch port map(A =>TobLayerIn(9)(9), B =>TobLayerIn(9)(10), H =>TobLayerOut(9)(9), L =>TobLayerOut(9)(10));
1433  compExch_Layer_09_to_10_sites_11_12: compExch port map(A =>TobLayerIn(9)(11), B =>TobLayerIn(9)(12), H =>TobLayerOut(9)(11), L =>TobLayerOut(9)(12));
1434  compExch_Layer_09_to_10_sites_13_14: compExch port map(A =>TobLayerIn(9)(13), B =>TobLayerIn(9)(14), H =>TobLayerOut(9)(13), L =>TobLayerOut(9)(14));
1435  TobLayerOut(9)(0)<=TobLayerIn(9)(0);
1436  TobLayerOut(9)(15)<=TobLayerIn(9)(15);
1437 
1438 
1439  compExch_Layer_06_to_07_sites_16_24: compExch port map(A =>TobLayerIn(6)(16), B =>TobLayerIn(6)(24), H =>TobLayerOut(6)(16), L =>TobLayerOut(6)(24));
1440  compExch_Layer_06_to_07_sites_17_25: compExch port map(A =>TobLayerIn(6)(17), B =>TobLayerIn(6)(25), H =>TobLayerOut(6)(17), L =>TobLayerOut(6)(25));
1441  compExch_Layer_06_to_07_sites_18_26: compExch port map(A =>TobLayerIn(6)(18), B =>TobLayerIn(6)(26), H =>TobLayerOut(6)(18), L =>TobLayerOut(6)(26));
1442  compExch_Layer_06_to_07_sites_19_27: compExch port map(A =>TobLayerIn(6)(19), B =>TobLayerIn(6)(27), H =>TobLayerOut(6)(19), L =>TobLayerOut(6)(27));
1443  compExch_Layer_06_to_07_sites_20_28: compExch port map(A =>TobLayerIn(6)(20), B =>TobLayerIn(6)(28), H =>TobLayerOut(6)(20), L =>TobLayerOut(6)(28));
1444  compExch_Layer_06_to_07_sites_21_29: compExch port map(A =>TobLayerIn(6)(21), B =>TobLayerIn(6)(29), H =>TobLayerOut(6)(21), L =>TobLayerOut(6)(29));
1445  compExch_Layer_06_to_07_sites_22_30: compExch port map(A =>TobLayerIn(6)(22), B =>TobLayerIn(6)(30), H =>TobLayerOut(6)(22), L =>TobLayerOut(6)(30));
1446  compExch_Layer_06_to_07_sites_23_31: compExch port map(A =>TobLayerIn(6)(23), B =>TobLayerIn(6)(31), H =>TobLayerOut(6)(23), L =>TobLayerOut(6)(31));
1447 
1448 
1449  compExch_Layer_07_to_08_sites_20_24: compExch port map(A =>TobLayerIn(7)(20), B =>TobLayerIn(7)(24), H =>TobLayerOut(7)(20), L =>TobLayerOut(7)(24));
1450  compExch_Layer_07_to_08_sites_21_25: compExch port map(A =>TobLayerIn(7)(21), B =>TobLayerIn(7)(25), H =>TobLayerOut(7)(21), L =>TobLayerOut(7)(25));
1451  compExch_Layer_07_to_08_sites_22_26: compExch port map(A =>TobLayerIn(7)(22), B =>TobLayerIn(7)(26), H =>TobLayerOut(7)(22), L =>TobLayerOut(7)(26));
1452  compExch_Layer_07_to_08_sites_23_27: compExch port map(A =>TobLayerIn(7)(23), B =>TobLayerIn(7)(27), H =>TobLayerOut(7)(23), L =>TobLayerOut(7)(27));
1453  TobLayerOut(7)(16)<=TobLayerIn(7)(16);
1454  TobLayerOut(7)(17)<=TobLayerIn(7)(17);
1455  TobLayerOut(7)(18)<=TobLayerIn(7)(18);
1456  TobLayerOut(7)(19)<=TobLayerIn(7)(19);
1457  TobLayerOut(7)(28)<=TobLayerIn(7)(28);
1458  TobLayerOut(7)(29)<=TobLayerIn(7)(29);
1459  TobLayerOut(7)(30)<=TobLayerIn(7)(30);
1460  TobLayerOut(7)(31)<=TobLayerIn(7)(31);
1461 
1462 
1463  compExch_Layer_08_to_09_sites_18_20: compExch port map(A =>TobLayerIn(8)(18), B =>TobLayerIn(8)(20), H =>TobLayerOut(8)(18), L =>TobLayerOut(8)(20));
1464  compExch_Layer_08_to_09_sites_19_21: compExch port map(A =>TobLayerIn(8)(19), B =>TobLayerIn(8)(21), H =>TobLayerOut(8)(19), L =>TobLayerOut(8)(21));
1465  compExch_Layer_08_to_09_sites_22_24: compExch port map(A =>TobLayerIn(8)(22), B =>TobLayerIn(8)(24), H =>TobLayerOut(8)(22), L =>TobLayerOut(8)(24));
1466  compExch_Layer_08_to_09_sites_23_25: compExch port map(A =>TobLayerIn(8)(23), B =>TobLayerIn(8)(25), H =>TobLayerOut(8)(23), L =>TobLayerOut(8)(25));
1467  compExch_Layer_08_to_09_sites_26_28: compExch port map(A =>TobLayerIn(8)(26), B =>TobLayerIn(8)(28), H =>TobLayerOut(8)(26), L =>TobLayerOut(8)(28));
1468  compExch_Layer_08_to_09_sites_27_29: compExch port map(A =>TobLayerIn(8)(27), B =>TobLayerIn(8)(29), H =>TobLayerOut(8)(27), L =>TobLayerOut(8)(29));
1469  TobLayerOut(8)(16)<=TobLayerIn(8)(16);
1470  TobLayerOut(8)(17)<=TobLayerIn(8)(17);
1471  TobLayerOut(8)(30)<=TobLayerIn(8)(30);
1472  TobLayerOut(8)(31)<=TobLayerIn(8)(31);
1473 
1474 
1475  compExch_Layer_09_to_10_sites_17_18: compExch port map(A =>TobLayerIn(9)(17), B =>TobLayerIn(9)(18), H =>TobLayerOut(9)(17), L =>TobLayerOut(9)(18));
1476  compExch_Layer_09_to_10_sites_19_20: compExch port map(A =>TobLayerIn(9)(19), B =>TobLayerIn(9)(20), H =>TobLayerOut(9)(19), L =>TobLayerOut(9)(20));
1477  compExch_Layer_09_to_10_sites_21_22: compExch port map(A =>TobLayerIn(9)(21), B =>TobLayerIn(9)(22), H =>TobLayerOut(9)(21), L =>TobLayerOut(9)(22));
1478  compExch_Layer_09_to_10_sites_23_24: compExch port map(A =>TobLayerIn(9)(23), B =>TobLayerIn(9)(24), H =>TobLayerOut(9)(23), L =>TobLayerOut(9)(24));
1479  compExch_Layer_09_to_10_sites_25_26: compExch port map(A =>TobLayerIn(9)(25), B =>TobLayerIn(9)(26), H =>TobLayerOut(9)(25), L =>TobLayerOut(9)(26));
1480  compExch_Layer_09_to_10_sites_27_28: compExch port map(A =>TobLayerIn(9)(27), B =>TobLayerIn(9)(28), H =>TobLayerOut(9)(27), L =>TobLayerOut(9)(28));
1481  compExch_Layer_09_to_10_sites_29_30: compExch port map(A =>TobLayerIn(9)(29), B =>TobLayerIn(9)(30), H =>TobLayerOut(9)(29), L =>TobLayerOut(9)(30));
1482  TobLayerOut(9)(16)<=TobLayerIn(9)(16);
1483  TobLayerOut(9)(31)<=TobLayerIn(9)(31);
1484 
1485 
1486  compExch_Layer_06_to_07_sites_32_40: compExch port map(A =>TobLayerIn(6)(32), B =>TobLayerIn(6)(40), H =>TobLayerOut(6)(32), L =>TobLayerOut(6)(40));
1487  compExch_Layer_06_to_07_sites_33_41: compExch port map(A =>TobLayerIn(6)(33), B =>TobLayerIn(6)(41), H =>TobLayerOut(6)(33), L =>TobLayerOut(6)(41));
1488  compExch_Layer_06_to_07_sites_34_42: compExch port map(A =>TobLayerIn(6)(34), B =>TobLayerIn(6)(42), H =>TobLayerOut(6)(34), L =>TobLayerOut(6)(42));
1489  compExch_Layer_06_to_07_sites_35_43: compExch port map(A =>TobLayerIn(6)(35), B =>TobLayerIn(6)(43), H =>TobLayerOut(6)(35), L =>TobLayerOut(6)(43));
1490  compExch_Layer_06_to_07_sites_36_44: compExch port map(A =>TobLayerIn(6)(36), B =>TobLayerIn(6)(44), H =>TobLayerOut(6)(36), L =>TobLayerOut(6)(44));
1491  compExch_Layer_06_to_07_sites_37_45: compExch port map(A =>TobLayerIn(6)(37), B =>TobLayerIn(6)(45), H =>TobLayerOut(6)(37), L =>TobLayerOut(6)(45));
1492  compExch_Layer_06_to_07_sites_38_46: compExch port map(A =>TobLayerIn(6)(38), B =>TobLayerIn(6)(46), H =>TobLayerOut(6)(38), L =>TobLayerOut(6)(46));
1493  compExch_Layer_06_to_07_sites_39_47: compExch port map(A =>TobLayerIn(6)(39), B =>TobLayerIn(6)(47), H =>TobLayerOut(6)(39), L =>TobLayerOut(6)(47));
1494 
1495 
1496  compExch_Layer_07_to_08_sites_36_40: compExch port map(A =>TobLayerIn(7)(36), B =>TobLayerIn(7)(40), H =>TobLayerOut(7)(36), L =>TobLayerOut(7)(40));
1497  compExch_Layer_07_to_08_sites_37_41: compExch port map(A =>TobLayerIn(7)(37), B =>TobLayerIn(7)(41), H =>TobLayerOut(7)(37), L =>TobLayerOut(7)(41));
1498  compExch_Layer_07_to_08_sites_38_42: compExch port map(A =>TobLayerIn(7)(38), B =>TobLayerIn(7)(42), H =>TobLayerOut(7)(38), L =>TobLayerOut(7)(42));
1499  compExch_Layer_07_to_08_sites_39_43: compExch port map(A =>TobLayerIn(7)(39), B =>TobLayerIn(7)(43), H =>TobLayerOut(7)(39), L =>TobLayerOut(7)(43));
1500  TobLayerOut(7)(32)<=TobLayerIn(7)(32);
1501  TobLayerOut(7)(33)<=TobLayerIn(7)(33);
1502  TobLayerOut(7)(34)<=TobLayerIn(7)(34);
1503  TobLayerOut(7)(35)<=TobLayerIn(7)(35);
1504  TobLayerOut(7)(44)<=TobLayerIn(7)(44);
1505  TobLayerOut(7)(45)<=TobLayerIn(7)(45);
1506  TobLayerOut(7)(46)<=TobLayerIn(7)(46);
1507  TobLayerOut(7)(47)<=TobLayerIn(7)(47);
1508 
1509 
1510  compExch_Layer_08_to_09_sites_34_36: compExch port map(A =>TobLayerIn(8)(34), B =>TobLayerIn(8)(36), H =>TobLayerOut(8)(34), L =>TobLayerOut(8)(36));
1511  compExch_Layer_08_to_09_sites_35_37: compExch port map(A =>TobLayerIn(8)(35), B =>TobLayerIn(8)(37), H =>TobLayerOut(8)(35), L =>TobLayerOut(8)(37));
1512  compExch_Layer_08_to_09_sites_38_40: compExch port map(A =>TobLayerIn(8)(38), B =>TobLayerIn(8)(40), H =>TobLayerOut(8)(38), L =>TobLayerOut(8)(40));
1513  compExch_Layer_08_to_09_sites_39_41: compExch port map(A =>TobLayerIn(8)(39), B =>TobLayerIn(8)(41), H =>TobLayerOut(8)(39), L =>TobLayerOut(8)(41));
1514  compExch_Layer_08_to_09_sites_42_44: compExch port map(A =>TobLayerIn(8)(42), B =>TobLayerIn(8)(44), H =>TobLayerOut(8)(42), L =>TobLayerOut(8)(44));
1515  compExch_Layer_08_to_09_sites_43_45: compExch port map(A =>TobLayerIn(8)(43), B =>TobLayerIn(8)(45), H =>TobLayerOut(8)(43), L =>TobLayerOut(8)(45));
1516  TobLayerOut(8)(32)<=TobLayerIn(8)(32);
1517  TobLayerOut(8)(33)<=TobLayerIn(8)(33);
1518  TobLayerOut(8)(46)<=TobLayerIn(8)(46);
1519  TobLayerOut(8)(47)<=TobLayerIn(8)(47);
1520 
1521 
1522  compExch_Layer_09_to_10_sites_33_34: compExch port map(A =>TobLayerIn(9)(33), B =>TobLayerIn(9)(34), H =>TobLayerOut(9)(33), L =>TobLayerOut(9)(34));
1523  compExch_Layer_09_to_10_sites_35_36: compExch port map(A =>TobLayerIn(9)(35), B =>TobLayerIn(9)(36), H =>TobLayerOut(9)(35), L =>TobLayerOut(9)(36));
1524  compExch_Layer_09_to_10_sites_37_38: compExch port map(A =>TobLayerIn(9)(37), B =>TobLayerIn(9)(38), H =>TobLayerOut(9)(37), L =>TobLayerOut(9)(38));
1525  compExch_Layer_09_to_10_sites_39_40: compExch port map(A =>TobLayerIn(9)(39), B =>TobLayerIn(9)(40), H =>TobLayerOut(9)(39), L =>TobLayerOut(9)(40));
1526  compExch_Layer_09_to_10_sites_41_42: compExch port map(A =>TobLayerIn(9)(41), B =>TobLayerIn(9)(42), H =>TobLayerOut(9)(41), L =>TobLayerOut(9)(42));
1527  compExch_Layer_09_to_10_sites_43_44: compExch port map(A =>TobLayerIn(9)(43), B =>TobLayerIn(9)(44), H =>TobLayerOut(9)(43), L =>TobLayerOut(9)(44));
1528  compExch_Layer_09_to_10_sites_45_46: compExch port map(A =>TobLayerIn(9)(45), B =>TobLayerIn(9)(46), H =>TobLayerOut(9)(45), L =>TobLayerOut(9)(46));
1529  TobLayerOut(9)(32)<=TobLayerIn(9)(32);
1530  TobLayerOut(9)(47)<=TobLayerIn(9)(47);
1531 
1532 
1533  compExch_Layer_06_to_07_sites_48_56: compExch port map(A =>TobLayerIn(6)(48), B =>TobLayerIn(6)(56), H =>TobLayerOut(6)(48), L =>TobLayerOut(6)(56));
1534  compExch_Layer_06_to_07_sites_49_57: compExch port map(A =>TobLayerIn(6)(49), B =>TobLayerIn(6)(57), H =>TobLayerOut(6)(49), L =>TobLayerOut(6)(57));
1535  compExch_Layer_06_to_07_sites_50_58: compExch port map(A =>TobLayerIn(6)(50), B =>TobLayerIn(6)(58), H =>TobLayerOut(6)(50), L =>TobLayerOut(6)(58));
1536  compExch_Layer_06_to_07_sites_51_59: compExch port map(A =>TobLayerIn(6)(51), B =>TobLayerIn(6)(59), H =>TobLayerOut(6)(51), L =>TobLayerOut(6)(59));
1537  compExch_Layer_06_to_07_sites_52_60: compExch port map(A =>TobLayerIn(6)(52), B =>TobLayerIn(6)(60), H =>TobLayerOut(6)(52), L =>TobLayerOut(6)(60));
1538  compExch_Layer_06_to_07_sites_53_61: compExch port map(A =>TobLayerIn(6)(53), B =>TobLayerIn(6)(61), H =>TobLayerOut(6)(53), L =>TobLayerOut(6)(61));
1539  compExch_Layer_06_to_07_sites_54_62: compExch port map(A =>TobLayerIn(6)(54), B =>TobLayerIn(6)(62), H =>TobLayerOut(6)(54), L =>TobLayerOut(6)(62));
1540  compExch_Layer_06_to_07_sites_55_63: compExch port map(A =>TobLayerIn(6)(55), B =>TobLayerIn(6)(63), H =>TobLayerOut(6)(55), L =>TobLayerOut(6)(63));
1541 
1542 
1543  compExch_Layer_07_to_08_sites_52_56: compExch port map(A =>TobLayerIn(7)(52), B =>TobLayerIn(7)(56), H =>TobLayerOut(7)(52), L =>TobLayerOut(7)(56));
1544  compExch_Layer_07_to_08_sites_53_57: compExch port map(A =>TobLayerIn(7)(53), B =>TobLayerIn(7)(57), H =>TobLayerOut(7)(53), L =>TobLayerOut(7)(57));
1545  compExch_Layer_07_to_08_sites_54_58: compExch port map(A =>TobLayerIn(7)(54), B =>TobLayerIn(7)(58), H =>TobLayerOut(7)(54), L =>TobLayerOut(7)(58));
1546  compExch_Layer_07_to_08_sites_55_59: compExch port map(A =>TobLayerIn(7)(55), B =>TobLayerIn(7)(59), H =>TobLayerOut(7)(55), L =>TobLayerOut(7)(59));
1547  TobLayerOut(7)(48)<=TobLayerIn(7)(48);
1548  TobLayerOut(7)(49)<=TobLayerIn(7)(49);
1549  TobLayerOut(7)(50)<=TobLayerIn(7)(50);
1550  TobLayerOut(7)(51)<=TobLayerIn(7)(51);
1551  TobLayerOut(7)(60)<=TobLayerIn(7)(60);
1552  TobLayerOut(7)(61)<=TobLayerIn(7)(61);
1553  TobLayerOut(7)(62)<=TobLayerIn(7)(62);
1554  TobLayerOut(7)(63)<=TobLayerIn(7)(63);
1555 
1556 
1557  compExch_Layer_08_to_09_sites_50_52: compExch port map(A =>TobLayerIn(8)(50), B =>TobLayerIn(8)(52), H =>TobLayerOut(8)(50), L =>TobLayerOut(8)(52));
1558  compExch_Layer_08_to_09_sites_51_53: compExch port map(A =>TobLayerIn(8)(51), B =>TobLayerIn(8)(53), H =>TobLayerOut(8)(51), L =>TobLayerOut(8)(53));
1559  compExch_Layer_08_to_09_sites_54_56: compExch port map(A =>TobLayerIn(8)(54), B =>TobLayerIn(8)(56), H =>TobLayerOut(8)(54), L =>TobLayerOut(8)(56));
1560  compExch_Layer_08_to_09_sites_55_57: compExch port map(A =>TobLayerIn(8)(55), B =>TobLayerIn(8)(57), H =>TobLayerOut(8)(55), L =>TobLayerOut(8)(57));
1561  compExch_Layer_08_to_09_sites_58_60: compExch port map(A =>TobLayerIn(8)(58), B =>TobLayerIn(8)(60), H =>TobLayerOut(8)(58), L =>TobLayerOut(8)(60));
1562  compExch_Layer_08_to_09_sites_59_61: compExch port map(A =>TobLayerIn(8)(59), B =>TobLayerIn(8)(61), H =>TobLayerOut(8)(59), L =>TobLayerOut(8)(61));
1563  TobLayerOut(8)(48)<=TobLayerIn(8)(48);
1564  TobLayerOut(8)(49)<=TobLayerIn(8)(49);
1565  TobLayerOut(8)(62)<=TobLayerIn(8)(62);
1566  TobLayerOut(8)(63)<=TobLayerIn(8)(63);
1567 
1568 
1569  compExch_Layer_09_to_10_sites_49_50: compExch port map(A =>TobLayerIn(9)(49), B =>TobLayerIn(9)(50), H =>TobLayerOut(9)(49), L =>TobLayerOut(9)(50));
1570  compExch_Layer_09_to_10_sites_51_52: compExch port map(A =>TobLayerIn(9)(51), B =>TobLayerIn(9)(52), H =>TobLayerOut(9)(51), L =>TobLayerOut(9)(52));
1571  compExch_Layer_09_to_10_sites_53_54: compExch port map(A =>TobLayerIn(9)(53), B =>TobLayerIn(9)(54), H =>TobLayerOut(9)(53), L =>TobLayerOut(9)(54));
1572  compExch_Layer_09_to_10_sites_55_56: compExch port map(A =>TobLayerIn(9)(55), B =>TobLayerIn(9)(56), H =>TobLayerOut(9)(55), L =>TobLayerOut(9)(56));
1573  compExch_Layer_09_to_10_sites_57_58: compExch port map(A =>TobLayerIn(9)(57), B =>TobLayerIn(9)(58), H =>TobLayerOut(9)(57), L =>TobLayerOut(9)(58));
1574  compExch_Layer_09_to_10_sites_59_60: compExch port map(A =>TobLayerIn(9)(59), B =>TobLayerIn(9)(60), H =>TobLayerOut(9)(59), L =>TobLayerOut(9)(60));
1575  compExch_Layer_09_to_10_sites_61_62: compExch port map(A =>TobLayerIn(9)(61), B =>TobLayerIn(9)(62), H =>TobLayerOut(9)(61), L =>TobLayerOut(9)(62));
1576  TobLayerOut(9)(48)<=TobLayerIn(9)(48);
1577  TobLayerOut(9)(63)<=TobLayerIn(9)(63);
1578 
1579 
1580  TobLayerOut(6)(64)<=TobLayerIn(6)(64);
1581  TobLayerOut(6)(65)<=TobLayerIn(6)(65);
1582  TobLayerOut(6)(66)<=TobLayerIn(6)(66);
1583  TobLayerOut(6)(67)<=TobLayerIn(6)(67);
1584  TobLayerOut(6)(68)<=TobLayerIn(6)(68);
1585  TobLayerOut(6)(69)<=TobLayerIn(6)(69);
1586 
1587  TobLayerOut(7)(64)<=TobLayerIn(7)(64);
1588  TobLayerOut(7)(65)<=TobLayerIn(7)(65);
1589  TobLayerOut(7)(66)<=TobLayerIn(7)(66);
1590  TobLayerOut(7)(67)<=TobLayerIn(7)(67);
1591  TobLayerOut(7)(68)<=TobLayerIn(7)(68);
1592  TobLayerOut(7)(69)<=TobLayerIn(7)(69);
1593 
1594  compExch_Layer_08_to_09_sites_66_68: compExch port map(A =>TobLayerIn(8)(66), B =>TobLayerIn(8)(68), H =>TobLayerOut(8)(66), L =>TobLayerOut(8)(68));
1595  compExch_Layer_08_to_09_sites_67_69: compExch port map(A =>TobLayerIn(8)(67), B =>TobLayerIn(8)(69), H =>TobLayerOut(8)(67), L =>TobLayerOut(8)(69));
1596  TobLayerOut(8)(64)<=TobLayerIn(8)(64);
1597  TobLayerOut(8)(65)<=TobLayerIn(8)(65);
1598 
1599 
1600  compExch_Layer_09_to_10_sites_65_66: compExch port map(A =>TobLayerIn(9)(65), B =>TobLayerIn(9)(66), H =>TobLayerOut(9)(65), L =>TobLayerOut(9)(66));
1601  compExch_Layer_09_to_10_sites_67_68: compExch port map(A =>TobLayerIn(9)(67), B =>TobLayerIn(9)(68), H =>TobLayerOut(9)(67), L =>TobLayerOut(9)(68));
1602  TobLayerOut(9)(64)<=TobLayerIn(9)(64);
1603  TobLayerOut(9)(69)<=TobLayerIn(9)(69);
1604 
1605 
1606 
1607 
1608 
1609 
1610 
1611 
1612 
1613 
1614 
1615 
1616 
1617 
1618  compExch_Layer_10_to_11_sites_00_16: compExch port map(A =>TobLayerIn(10)(0), B =>TobLayerIn(10)(16), H =>TobLayerOut(10)(0), L =>TobLayerOut(10)(16));
1619  compExch_Layer_10_to_11_sites_01_17: compExch port map(A =>TobLayerIn(10)(1), B =>TobLayerIn(10)(17), H =>TobLayerOut(10)(1), L =>TobLayerOut(10)(17));
1620  compExch_Layer_10_to_11_sites_02_18: compExch port map(A =>TobLayerIn(10)(2), B =>TobLayerIn(10)(18), H =>TobLayerOut(10)(2), L =>TobLayerOut(10)(18));
1621  compExch_Layer_10_to_11_sites_03_19: compExch port map(A =>TobLayerIn(10)(3), B =>TobLayerIn(10)(19), H =>TobLayerOut(10)(3), L =>TobLayerOut(10)(19));
1622  compExch_Layer_10_to_11_sites_04_20: compExch port map(A =>TobLayerIn(10)(4), B =>TobLayerIn(10)(20), H =>TobLayerOut(10)(4), L =>TobLayerOut(10)(20));
1623  compExch_Layer_10_to_11_sites_05_21: compExch port map(A =>TobLayerIn(10)(5), B =>TobLayerIn(10)(21), H =>TobLayerOut(10)(5), L =>TobLayerOut(10)(21));
1624  compExch_Layer_10_to_11_sites_06_22: compExch port map(A =>TobLayerIn(10)(6), B =>TobLayerIn(10)(22), H =>TobLayerOut(10)(6), L =>TobLayerOut(10)(22));
1625  compExch_Layer_10_to_11_sites_07_23: compExch port map(A =>TobLayerIn(10)(7), B =>TobLayerIn(10)(23), H =>TobLayerOut(10)(7), L =>TobLayerOut(10)(23));
1626  compExch_Layer_10_to_11_sites_08_24: compExch port map(A =>TobLayerIn(10)(8), B =>TobLayerIn(10)(24), H =>TobLayerOut(10)(8), L =>TobLayerOut(10)(24));
1627  compExch_Layer_10_to_11_sites_09_25: compExch port map(A =>TobLayerIn(10)(9), B =>TobLayerIn(10)(25), H =>TobLayerOut(10)(9), L =>TobLayerOut(10)(25));
1628  compExch_Layer_10_to_11_sites_10_26: compExch port map(A =>TobLayerIn(10)(10), B =>TobLayerIn(10)(26), H =>TobLayerOut(10)(10), L =>TobLayerOut(10)(26));
1629  compExch_Layer_10_to_11_sites_11_27: compExch port map(A =>TobLayerIn(10)(11), B =>TobLayerIn(10)(27), H =>TobLayerOut(10)(11), L =>TobLayerOut(10)(27));
1630  compExch_Layer_10_to_11_sites_12_28: compExch port map(A =>TobLayerIn(10)(12), B =>TobLayerIn(10)(28), H =>TobLayerOut(10)(12), L =>TobLayerOut(10)(28));
1631  compExch_Layer_10_to_11_sites_13_29: compExch port map(A =>TobLayerIn(10)(13), B =>TobLayerIn(10)(29), H =>TobLayerOut(10)(13), L =>TobLayerOut(10)(29));
1632  compExch_Layer_10_to_11_sites_14_30: compExch port map(A =>TobLayerIn(10)(14), B =>TobLayerIn(10)(30), H =>TobLayerOut(10)(14), L =>TobLayerOut(10)(30));
1633  compExch_Layer_10_to_11_sites_15_31: compExch port map(A =>TobLayerIn(10)(15), B =>TobLayerIn(10)(31), H =>TobLayerOut(10)(15), L =>TobLayerOut(10)(31));
1634 
1635 
1636  compExch_Layer_11_to_12_sites_08_16: compExch port map(A =>TobLayerIn(11)(8), B =>TobLayerIn(11)(16), H =>TobLayerOut(11)(8), L =>TobLayerOut(11)(16));
1637  compExch_Layer_11_to_12_sites_09_17: compExch port map(A =>TobLayerIn(11)(9), B =>TobLayerIn(11)(17), H =>TobLayerOut(11)(9), L =>TobLayerOut(11)(17));
1638  compExch_Layer_11_to_12_sites_10_18: compExch port map(A =>TobLayerIn(11)(10), B =>TobLayerIn(11)(18), H =>TobLayerOut(11)(10), L =>TobLayerOut(11)(18));
1639  compExch_Layer_11_to_12_sites_11_19: compExch port map(A =>TobLayerIn(11)(11), B =>TobLayerIn(11)(19), H =>TobLayerOut(11)(11), L =>TobLayerOut(11)(19));
1640  compExch_Layer_11_to_12_sites_12_20: compExch port map(A =>TobLayerIn(11)(12), B =>TobLayerIn(11)(20), H =>TobLayerOut(11)(12), L =>TobLayerOut(11)(20));
1641  compExch_Layer_11_to_12_sites_13_21: compExch port map(A =>TobLayerIn(11)(13), B =>TobLayerIn(11)(21), H =>TobLayerOut(11)(13), L =>TobLayerOut(11)(21));
1642  compExch_Layer_11_to_12_sites_14_22: compExch port map(A =>TobLayerIn(11)(14), B =>TobLayerIn(11)(22), H =>TobLayerOut(11)(14), L =>TobLayerOut(11)(22));
1643  compExch_Layer_11_to_12_sites_15_23: compExch port map(A =>TobLayerIn(11)(15), B =>TobLayerIn(11)(23), H =>TobLayerOut(11)(15), L =>TobLayerOut(11)(23));
1644  TobLayerOut(11)(0)<=TobLayerIn(11)(0);
1645  TobLayerOut(11)(1)<=TobLayerIn(11)(1);
1646  TobLayerOut(11)(2)<=TobLayerIn(11)(2);
1647  TobLayerOut(11)(3)<=TobLayerIn(11)(3);
1648  TobLayerOut(11)(4)<=TobLayerIn(11)(4);
1649  TobLayerOut(11)(5)<=TobLayerIn(11)(5);
1650  TobLayerOut(11)(6)<=TobLayerIn(11)(6);
1651  TobLayerOut(11)(7)<=TobLayerIn(11)(7);
1652  TobLayerOut(11)(24)<=TobLayerIn(11)(24);
1653  TobLayerOut(11)(25)<=TobLayerIn(11)(25);
1654  TobLayerOut(11)(26)<=TobLayerIn(11)(26);
1655  TobLayerOut(11)(27)<=TobLayerIn(11)(27);
1656  TobLayerOut(11)(28)<=TobLayerIn(11)(28);
1657  TobLayerOut(11)(29)<=TobLayerIn(11)(29);
1658  TobLayerOut(11)(30)<=TobLayerIn(11)(30);
1659  TobLayerOut(11)(31)<=TobLayerIn(11)(31);
1660 
1661 
1662  compExch_Layer_12_to_13_sites_04_08: compExch port map(A =>TobLayerIn(12)(4), B =>TobLayerIn(12)(8), H =>TobLayerOut(12)(4), L =>TobLayerOut(12)(8));
1663  compExch_Layer_12_to_13_sites_05_09: compExch port map(A =>TobLayerIn(12)(5), B =>TobLayerIn(12)(9), H =>TobLayerOut(12)(5), L =>TobLayerOut(12)(9));
1664  compExch_Layer_12_to_13_sites_06_10: compExch port map(A =>TobLayerIn(12)(6), B =>TobLayerIn(12)(10), H =>TobLayerOut(12)(6), L =>TobLayerOut(12)(10));
1665  compExch_Layer_12_to_13_sites_07_11: compExch port map(A =>TobLayerIn(12)(7), B =>TobLayerIn(12)(11), H =>TobLayerOut(12)(7), L =>TobLayerOut(12)(11));
1666  compExch_Layer_12_to_13_sites_12_16: compExch port map(A =>TobLayerIn(12)(12), B =>TobLayerIn(12)(16), H =>TobLayerOut(12)(12), L =>TobLayerOut(12)(16));
1667  compExch_Layer_12_to_13_sites_13_17: compExch port map(A =>TobLayerIn(12)(13), B =>TobLayerIn(12)(17), H =>TobLayerOut(12)(13), L =>TobLayerOut(12)(17));
1668  compExch_Layer_12_to_13_sites_14_18: compExch port map(A =>TobLayerIn(12)(14), B =>TobLayerIn(12)(18), H =>TobLayerOut(12)(14), L =>TobLayerOut(12)(18));
1669  compExch_Layer_12_to_13_sites_15_19: compExch port map(A =>TobLayerIn(12)(15), B =>TobLayerIn(12)(19), H =>TobLayerOut(12)(15), L =>TobLayerOut(12)(19));
1670  compExch_Layer_12_to_13_sites_20_24: compExch port map(A =>TobLayerIn(12)(20), B =>TobLayerIn(12)(24), H =>TobLayerOut(12)(20), L =>TobLayerOut(12)(24));
1671  compExch_Layer_12_to_13_sites_21_25: compExch port map(A =>TobLayerIn(12)(21), B =>TobLayerIn(12)(25), H =>TobLayerOut(12)(21), L =>TobLayerOut(12)(25));
1672  compExch_Layer_12_to_13_sites_22_26: compExch port map(A =>TobLayerIn(12)(22), B =>TobLayerIn(12)(26), H =>TobLayerOut(12)(22), L =>TobLayerOut(12)(26));
1673  compExch_Layer_12_to_13_sites_23_27: compExch port map(A =>TobLayerIn(12)(23), B =>TobLayerIn(12)(27), H =>TobLayerOut(12)(23), L =>TobLayerOut(12)(27));
1674  TobLayerOut(12)(0)<=TobLayerIn(12)(0);
1675  TobLayerOut(12)(1)<=TobLayerIn(12)(1);
1676  TobLayerOut(12)(2)<=TobLayerIn(12)(2);
1677  TobLayerOut(12)(3)<=TobLayerIn(12)(3);
1678  TobLayerOut(12)(28)<=TobLayerIn(12)(28);
1679  TobLayerOut(12)(29)<=TobLayerIn(12)(29);
1680  TobLayerOut(12)(30)<=TobLayerIn(12)(30);
1681  TobLayerOut(12)(31)<=TobLayerIn(12)(31);
1682 
1683 
1684  compExch_Layer_13_to_14_sites_02_04: compExch port map(A =>TobLayerIn(13)(2), B =>TobLayerIn(13)(4), H =>TobLayerOut(13)(2), L =>TobLayerOut(13)(4));
1685  compExch_Layer_13_to_14_sites_03_05: compExch port map(A =>TobLayerIn(13)(3), B =>TobLayerIn(13)(5), H =>TobLayerOut(13)(3), L =>TobLayerOut(13)(5));
1686  compExch_Layer_13_to_14_sites_06_08: compExch port map(A =>TobLayerIn(13)(6), B =>TobLayerIn(13)(8), H =>TobLayerOut(13)(6), L =>TobLayerOut(13)(8));
1687  compExch_Layer_13_to_14_sites_07_09: compExch port map(A =>TobLayerIn(13)(7), B =>TobLayerIn(13)(9), H =>TobLayerOut(13)(7), L =>TobLayerOut(13)(9));
1688  compExch_Layer_13_to_14_sites_10_12: compExch port map(A =>TobLayerIn(13)(10), B =>TobLayerIn(13)(12), H =>TobLayerOut(13)(10), L =>TobLayerOut(13)(12));
1689  compExch_Layer_13_to_14_sites_11_13: compExch port map(A =>TobLayerIn(13)(11), B =>TobLayerIn(13)(13), H =>TobLayerOut(13)(11), L =>TobLayerOut(13)(13));
1690  compExch_Layer_13_to_14_sites_14_16: compExch port map(A =>TobLayerIn(13)(14), B =>TobLayerIn(13)(16), H =>TobLayerOut(13)(14), L =>TobLayerOut(13)(16));
1691  compExch_Layer_13_to_14_sites_15_17: compExch port map(A =>TobLayerIn(13)(15), B =>TobLayerIn(13)(17), H =>TobLayerOut(13)(15), L =>TobLayerOut(13)(17));
1692  compExch_Layer_13_to_14_sites_18_20: compExch port map(A =>TobLayerIn(13)(18), B =>TobLayerIn(13)(20), H =>TobLayerOut(13)(18), L =>TobLayerOut(13)(20));
1693  compExch_Layer_13_to_14_sites_19_21: compExch port map(A =>TobLayerIn(13)(19), B =>TobLayerIn(13)(21), H =>TobLayerOut(13)(19), L =>TobLayerOut(13)(21));
1694  compExch_Layer_13_to_14_sites_22_24: compExch port map(A =>TobLayerIn(13)(22), B =>TobLayerIn(13)(24), H =>TobLayerOut(13)(22), L =>TobLayerOut(13)(24));
1695  compExch_Layer_13_to_14_sites_23_25: compExch port map(A =>TobLayerIn(13)(23), B =>TobLayerIn(13)(25), H =>TobLayerOut(13)(23), L =>TobLayerOut(13)(25));
1696  compExch_Layer_13_to_14_sites_26_28: compExch port map(A =>TobLayerIn(13)(26), B =>TobLayerIn(13)(28), H =>TobLayerOut(13)(26), L =>TobLayerOut(13)(28));
1697  compExch_Layer_13_to_14_sites_27_29: compExch port map(A =>TobLayerIn(13)(27), B =>TobLayerIn(13)(29), H =>TobLayerOut(13)(27), L =>TobLayerOut(13)(29));
1698  TobLayerOut(13)(0)<=TobLayerIn(13)(0);
1699  TobLayerOut(13)(1)<=TobLayerIn(13)(1);
1700  TobLayerOut(13)(30)<=TobLayerIn(13)(30);
1701  TobLayerOut(13)(31)<=TobLayerIn(13)(31);
1702 
1703 
1704  compExch_Layer_14_to_15_sites_01_02: compExch port map(A =>TobLayerIn(14)(1), B =>TobLayerIn(14)(2), H =>TobLayerOut(14)(1), L =>TobLayerOut(14)(2));
1705  compExch_Layer_14_to_15_sites_03_04: compExch port map(A =>TobLayerIn(14)(3), B =>TobLayerIn(14)(4), H =>TobLayerOut(14)(3), L =>TobLayerOut(14)(4));
1706  compExch_Layer_14_to_15_sites_05_06: compExch port map(A =>TobLayerIn(14)(5), B =>TobLayerIn(14)(6), H =>TobLayerOut(14)(5), L =>TobLayerOut(14)(6));
1707  compExch_Layer_14_to_15_sites_07_08: compExch port map(A =>TobLayerIn(14)(7), B =>TobLayerIn(14)(8), H =>TobLayerOut(14)(7), L =>TobLayerOut(14)(8));
1708  compExch_Layer_14_to_15_sites_09_10: compExch port map(A =>TobLayerIn(14)(9), B =>TobLayerIn(14)(10), H =>TobLayerOut(14)(9), L =>TobLayerOut(14)(10));
1709  compExch_Layer_14_to_15_sites_11_12: compExch port map(A =>TobLayerIn(14)(11), B =>TobLayerIn(14)(12), H =>TobLayerOut(14)(11), L =>TobLayerOut(14)(12));
1710  compExch_Layer_14_to_15_sites_13_14: compExch port map(A =>TobLayerIn(14)(13), B =>TobLayerIn(14)(14), H =>TobLayerOut(14)(13), L =>TobLayerOut(14)(14));
1711  compExch_Layer_14_to_15_sites_15_16: compExch port map(A =>TobLayerIn(14)(15), B =>TobLayerIn(14)(16), H =>TobLayerOut(14)(15), L =>TobLayerOut(14)(16));
1712  compExch_Layer_14_to_15_sites_17_18: compExch port map(A =>TobLayerIn(14)(17), B =>TobLayerIn(14)(18), H =>TobLayerOut(14)(17), L =>TobLayerOut(14)(18));
1713  compExch_Layer_14_to_15_sites_19_20: compExch port map(A =>TobLayerIn(14)(19), B =>TobLayerIn(14)(20), H =>TobLayerOut(14)(19), L =>TobLayerOut(14)(20));
1714  compExch_Layer_14_to_15_sites_21_22: compExch port map(A =>TobLayerIn(14)(21), B =>TobLayerIn(14)(22), H =>TobLayerOut(14)(21), L =>TobLayerOut(14)(22));
1715  compExch_Layer_14_to_15_sites_23_24: compExch port map(A =>TobLayerIn(14)(23), B =>TobLayerIn(14)(24), H =>TobLayerOut(14)(23), L =>TobLayerOut(14)(24));
1716  compExch_Layer_14_to_15_sites_25_26: compExch port map(A =>TobLayerIn(14)(25), B =>TobLayerIn(14)(26), H =>TobLayerOut(14)(25), L =>TobLayerOut(14)(26));
1717  compExch_Layer_14_to_15_sites_27_28: compExch port map(A =>TobLayerIn(14)(27), B =>TobLayerIn(14)(28), H =>TobLayerOut(14)(27), L =>TobLayerOut(14)(28));
1718  compExch_Layer_14_to_15_sites_29_30: compExch port map(A =>TobLayerIn(14)(29), B =>TobLayerIn(14)(30), H =>TobLayerOut(14)(29), L =>TobLayerOut(14)(30));
1719  TobLayerOut(14)(0)<=TobLayerIn(14)(0);
1720  TobLayerOut(14)(31)<=TobLayerIn(14)(31);
1721 
1722 
1723  compExch_Layer_10_to_11_sites_32_48: compExch port map(A =>TobLayerIn(10)(32), B =>TobLayerIn(10)(48), H =>TobLayerOut(10)(32), L =>TobLayerOut(10)(48));
1724  compExch_Layer_10_to_11_sites_33_49: compExch port map(A =>TobLayerIn(10)(33), B =>TobLayerIn(10)(49), H =>TobLayerOut(10)(33), L =>TobLayerOut(10)(49));
1725  compExch_Layer_10_to_11_sites_34_50: compExch port map(A =>TobLayerIn(10)(34), B =>TobLayerIn(10)(50), H =>TobLayerOut(10)(34), L =>TobLayerOut(10)(50));
1726  compExch_Layer_10_to_11_sites_35_51: compExch port map(A =>TobLayerIn(10)(35), B =>TobLayerIn(10)(51), H =>TobLayerOut(10)(35), L =>TobLayerOut(10)(51));
1727  compExch_Layer_10_to_11_sites_36_52: compExch port map(A =>TobLayerIn(10)(36), B =>TobLayerIn(10)(52), H =>TobLayerOut(10)(36), L =>TobLayerOut(10)(52));
1728  compExch_Layer_10_to_11_sites_37_53: compExch port map(A =>TobLayerIn(10)(37), B =>TobLayerIn(10)(53), H =>TobLayerOut(10)(37), L =>TobLayerOut(10)(53));
1729  compExch_Layer_10_to_11_sites_38_54: compExch port map(A =>TobLayerIn(10)(38), B =>TobLayerIn(10)(54), H =>TobLayerOut(10)(38), L =>TobLayerOut(10)(54));
1730  compExch_Layer_10_to_11_sites_39_55: compExch port map(A =>TobLayerIn(10)(39), B =>TobLayerIn(10)(55), H =>TobLayerOut(10)(39), L =>TobLayerOut(10)(55));
1731  compExch_Layer_10_to_11_sites_40_56: compExch port map(A =>TobLayerIn(10)(40), B =>TobLayerIn(10)(56), H =>TobLayerOut(10)(40), L =>TobLayerOut(10)(56));
1732  compExch_Layer_10_to_11_sites_41_57: compExch port map(A =>TobLayerIn(10)(41), B =>TobLayerIn(10)(57), H =>TobLayerOut(10)(41), L =>TobLayerOut(10)(57));
1733  compExch_Layer_10_to_11_sites_42_58: compExch port map(A =>TobLayerIn(10)(42), B =>TobLayerIn(10)(58), H =>TobLayerOut(10)(42), L =>TobLayerOut(10)(58));
1734  compExch_Layer_10_to_11_sites_43_59: compExch port map(A =>TobLayerIn(10)(43), B =>TobLayerIn(10)(59), H =>TobLayerOut(10)(43), L =>TobLayerOut(10)(59));
1735  compExch_Layer_10_to_11_sites_44_60: compExch port map(A =>TobLayerIn(10)(44), B =>TobLayerIn(10)(60), H =>TobLayerOut(10)(44), L =>TobLayerOut(10)(60));
1736  compExch_Layer_10_to_11_sites_45_61: compExch port map(A =>TobLayerIn(10)(45), B =>TobLayerIn(10)(61), H =>TobLayerOut(10)(45), L =>TobLayerOut(10)(61));
1737  compExch_Layer_10_to_11_sites_46_62: compExch port map(A =>TobLayerIn(10)(46), B =>TobLayerIn(10)(62), H =>TobLayerOut(10)(46), L =>TobLayerOut(10)(62));
1738  compExch_Layer_10_to_11_sites_47_63: compExch port map(A =>TobLayerIn(10)(47), B =>TobLayerIn(10)(63), H =>TobLayerOut(10)(47), L =>TobLayerOut(10)(63));
1739 
1740 
1741  compExch_Layer_11_to_12_sites_40_48: compExch port map(A =>TobLayerIn(11)(40), B =>TobLayerIn(11)(48), H =>TobLayerOut(11)(40), L =>TobLayerOut(11)(48));
1742  compExch_Layer_11_to_12_sites_41_49: compExch port map(A =>TobLayerIn(11)(41), B =>TobLayerIn(11)(49), H =>TobLayerOut(11)(41), L =>TobLayerOut(11)(49));
1743  compExch_Layer_11_to_12_sites_42_50: compExch port map(A =>TobLayerIn(11)(42), B =>TobLayerIn(11)(50), H =>TobLayerOut(11)(42), L =>TobLayerOut(11)(50));
1744  compExch_Layer_11_to_12_sites_43_51: compExch port map(A =>TobLayerIn(11)(43), B =>TobLayerIn(11)(51), H =>TobLayerOut(11)(43), L =>TobLayerOut(11)(51));
1745  compExch_Layer_11_to_12_sites_44_52: compExch port map(A =>TobLayerIn(11)(44), B =>TobLayerIn(11)(52), H =>TobLayerOut(11)(44), L =>TobLayerOut(11)(52));
1746  compExch_Layer_11_to_12_sites_45_53: compExch port map(A =>TobLayerIn(11)(45), B =>TobLayerIn(11)(53), H =>TobLayerOut(11)(45), L =>TobLayerOut(11)(53));
1747  compExch_Layer_11_to_12_sites_46_54: compExch port map(A =>TobLayerIn(11)(46), B =>TobLayerIn(11)(54), H =>TobLayerOut(11)(46), L =>TobLayerOut(11)(54));
1748  compExch_Layer_11_to_12_sites_47_55: compExch port map(A =>TobLayerIn(11)(47), B =>TobLayerIn(11)(55), H =>TobLayerOut(11)(47), L =>TobLayerOut(11)(55));
1749  TobLayerOut(11)(32)<=TobLayerIn(11)(32);
1750  TobLayerOut(11)(33)<=TobLayerIn(11)(33);
1751  TobLayerOut(11)(34)<=TobLayerIn(11)(34);
1752  TobLayerOut(11)(35)<=TobLayerIn(11)(35);
1753  TobLayerOut(11)(36)<=TobLayerIn(11)(36);
1754  TobLayerOut(11)(37)<=TobLayerIn(11)(37);
1755  TobLayerOut(11)(38)<=TobLayerIn(11)(38);
1756  TobLayerOut(11)(39)<=TobLayerIn(11)(39);
1757  TobLayerOut(11)(56)<=TobLayerIn(11)(56);
1758  TobLayerOut(11)(57)<=TobLayerIn(11)(57);
1759  TobLayerOut(11)(58)<=TobLayerIn(11)(58);
1760  TobLayerOut(11)(59)<=TobLayerIn(11)(59);
1761  TobLayerOut(11)(60)<=TobLayerIn(11)(60);
1762  TobLayerOut(11)(61)<=TobLayerIn(11)(61);
1763  TobLayerOut(11)(62)<=TobLayerIn(11)(62);
1764  TobLayerOut(11)(63)<=TobLayerIn(11)(63);
1765 
1766 
1767  compExch_Layer_12_to_13_sites_36_40: compExch port map(A =>TobLayerIn(12)(36), B =>TobLayerIn(12)(40), H =>TobLayerOut(12)(36), L =>TobLayerOut(12)(40));
1768  compExch_Layer_12_to_13_sites_37_41: compExch port map(A =>TobLayerIn(12)(37), B =>TobLayerIn(12)(41), H =>TobLayerOut(12)(37), L =>TobLayerOut(12)(41));
1769  compExch_Layer_12_to_13_sites_38_42: compExch port map(A =>TobLayerIn(12)(38), B =>TobLayerIn(12)(42), H =>TobLayerOut(12)(38), L =>TobLayerOut(12)(42));
1770  compExch_Layer_12_to_13_sites_39_43: compExch port map(A =>TobLayerIn(12)(39), B =>TobLayerIn(12)(43), H =>TobLayerOut(12)(39), L =>TobLayerOut(12)(43));
1771  compExch_Layer_12_to_13_sites_44_48: compExch port map(A =>TobLayerIn(12)(44), B =>TobLayerIn(12)(48), H =>TobLayerOut(12)(44), L =>TobLayerOut(12)(48));
1772  compExch_Layer_12_to_13_sites_45_49: compExch port map(A =>TobLayerIn(12)(45), B =>TobLayerIn(12)(49), H =>TobLayerOut(12)(45), L =>TobLayerOut(12)(49));
1773  compExch_Layer_12_to_13_sites_46_50: compExch port map(A =>TobLayerIn(12)(46), B =>TobLayerIn(12)(50), H =>TobLayerOut(12)(46), L =>TobLayerOut(12)(50));
1774  compExch_Layer_12_to_13_sites_47_51: compExch port map(A =>TobLayerIn(12)(47), B =>TobLayerIn(12)(51), H =>TobLayerOut(12)(47), L =>TobLayerOut(12)(51));
1775  compExch_Layer_12_to_13_sites_52_56: compExch port map(A =>TobLayerIn(12)(52), B =>TobLayerIn(12)(56), H =>TobLayerOut(12)(52), L =>TobLayerOut(12)(56));
1776  compExch_Layer_12_to_13_sites_53_57: compExch port map(A =>TobLayerIn(12)(53), B =>TobLayerIn(12)(57), H =>TobLayerOut(12)(53), L =>TobLayerOut(12)(57));
1777  compExch_Layer_12_to_13_sites_54_58: compExch port map(A =>TobLayerIn(12)(54), B =>TobLayerIn(12)(58), H =>TobLayerOut(12)(54), L =>TobLayerOut(12)(58));
1778  compExch_Layer_12_to_13_sites_55_59: compExch port map(A =>TobLayerIn(12)(55), B =>TobLayerIn(12)(59), H =>TobLayerOut(12)(55), L =>TobLayerOut(12)(59));
1779  TobLayerOut(12)(32)<=TobLayerIn(12)(32);
1780  TobLayerOut(12)(33)<=TobLayerIn(12)(33);
1781  TobLayerOut(12)(34)<=TobLayerIn(12)(34);
1782  TobLayerOut(12)(35)<=TobLayerIn(12)(35);
1783  TobLayerOut(12)(60)<=TobLayerIn(12)(60);
1784  TobLayerOut(12)(61)<=TobLayerIn(12)(61);
1785  TobLayerOut(12)(62)<=TobLayerIn(12)(62);
1786  TobLayerOut(12)(63)<=TobLayerIn(12)(63);
1787 
1788 
1789  compExch_Layer_13_to_14_sites_34_36: compExch port map(A =>TobLayerIn(13)(34), B =>TobLayerIn(13)(36), H =>TobLayerOut(13)(34), L =>TobLayerOut(13)(36));
1790  compExch_Layer_13_to_14_sites_35_37: compExch port map(A =>TobLayerIn(13)(35), B =>TobLayerIn(13)(37), H =>TobLayerOut(13)(35), L =>TobLayerOut(13)(37));
1791  compExch_Layer_13_to_14_sites_38_40: compExch port map(A =>TobLayerIn(13)(38), B =>TobLayerIn(13)(40), H =>TobLayerOut(13)(38), L =>TobLayerOut(13)(40));
1792  compExch_Layer_13_to_14_sites_39_41: compExch port map(A =>TobLayerIn(13)(39), B =>TobLayerIn(13)(41), H =>TobLayerOut(13)(39), L =>TobLayerOut(13)(41));
1793  compExch_Layer_13_to_14_sites_42_44: compExch port map(A =>TobLayerIn(13)(42), B =>TobLayerIn(13)(44), H =>TobLayerOut(13)(42), L =>TobLayerOut(13)(44));
1794  compExch_Layer_13_to_14_sites_43_45: compExch port map(A =>TobLayerIn(13)(43), B =>TobLayerIn(13)(45), H =>TobLayerOut(13)(43), L =>TobLayerOut(13)(45));
1795  compExch_Layer_13_to_14_sites_46_48: compExch port map(A =>TobLayerIn(13)(46), B =>TobLayerIn(13)(48), H =>TobLayerOut(13)(46), L =>TobLayerOut(13)(48));
1796  compExch_Layer_13_to_14_sites_47_49: compExch port map(A =>TobLayerIn(13)(47), B =>TobLayerIn(13)(49), H =>TobLayerOut(13)(47), L =>TobLayerOut(13)(49));
1797  compExch_Layer_13_to_14_sites_50_52: compExch port map(A =>TobLayerIn(13)(50), B =>TobLayerIn(13)(52), H =>TobLayerOut(13)(50), L =>TobLayerOut(13)(52));
1798  compExch_Layer_13_to_14_sites_51_53: compExch port map(A =>TobLayerIn(13)(51), B =>TobLayerIn(13)(53), H =>TobLayerOut(13)(51), L =>TobLayerOut(13)(53));
1799  compExch_Layer_13_to_14_sites_54_56: compExch port map(A =>TobLayerIn(13)(54), B =>TobLayerIn(13)(56), H =>TobLayerOut(13)(54), L =>TobLayerOut(13)(56));
1800  compExch_Layer_13_to_14_sites_55_57: compExch port map(A =>TobLayerIn(13)(55), B =>TobLayerIn(13)(57), H =>TobLayerOut(13)(55), L =>TobLayerOut(13)(57));
1801  compExch_Layer_13_to_14_sites_58_60: compExch port map(A =>TobLayerIn(13)(58), B =>TobLayerIn(13)(60), H =>TobLayerOut(13)(58), L =>TobLayerOut(13)(60));
1802  compExch_Layer_13_to_14_sites_59_61: compExch port map(A =>TobLayerIn(13)(59), B =>TobLayerIn(13)(61), H =>TobLayerOut(13)(59), L =>TobLayerOut(13)(61));
1803  TobLayerOut(13)(32)<=TobLayerIn(13)(32);
1804  TobLayerOut(13)(33)<=TobLayerIn(13)(33);
1805  TobLayerOut(13)(62)<=TobLayerIn(13)(62);
1806  TobLayerOut(13)(63)<=TobLayerIn(13)(63);
1807 
1808 
1809  compExch_Layer_14_to_15_sites_33_34: compExch port map(A =>TobLayerIn(14)(33), B =>TobLayerIn(14)(34), H =>TobLayerOut(14)(33), L =>TobLayerOut(14)(34));
1810  compExch_Layer_14_to_15_sites_35_36: compExch port map(A =>TobLayerIn(14)(35), B =>TobLayerIn(14)(36), H =>TobLayerOut(14)(35), L =>TobLayerOut(14)(36));
1811  compExch_Layer_14_to_15_sites_37_38: compExch port map(A =>TobLayerIn(14)(37), B =>TobLayerIn(14)(38), H =>TobLayerOut(14)(37), L =>TobLayerOut(14)(38));
1812  compExch_Layer_14_to_15_sites_39_40: compExch port map(A =>TobLayerIn(14)(39), B =>TobLayerIn(14)(40), H =>TobLayerOut(14)(39), L =>TobLayerOut(14)(40));
1813  compExch_Layer_14_to_15_sites_41_42: compExch port map(A =>TobLayerIn(14)(41), B =>TobLayerIn(14)(42), H =>TobLayerOut(14)(41), L =>TobLayerOut(14)(42));
1814  compExch_Layer_14_to_15_sites_43_44: compExch port map(A =>TobLayerIn(14)(43), B =>TobLayerIn(14)(44), H =>TobLayerOut(14)(43), L =>TobLayerOut(14)(44));
1815  compExch_Layer_14_to_15_sites_45_46: compExch port map(A =>TobLayerIn(14)(45), B =>TobLayerIn(14)(46), H =>TobLayerOut(14)(45), L =>TobLayerOut(14)(46));
1816  compExch_Layer_14_to_15_sites_47_48: compExch port map(A =>TobLayerIn(14)(47), B =>TobLayerIn(14)(48), H =>TobLayerOut(14)(47), L =>TobLayerOut(14)(48));
1817  compExch_Layer_14_to_15_sites_49_50: compExch port map(A =>TobLayerIn(14)(49), B =>TobLayerIn(14)(50), H =>TobLayerOut(14)(49), L =>TobLayerOut(14)(50));
1818  compExch_Layer_14_to_15_sites_51_52: compExch port map(A =>TobLayerIn(14)(51), B =>TobLayerIn(14)(52), H =>TobLayerOut(14)(51), L =>TobLayerOut(14)(52));
1819  compExch_Layer_14_to_15_sites_53_54: compExch port map(A =>TobLayerIn(14)(53), B =>TobLayerIn(14)(54), H =>TobLayerOut(14)(53), L =>TobLayerOut(14)(54));
1820  compExch_Layer_14_to_15_sites_55_56: compExch port map(A =>TobLayerIn(14)(55), B =>TobLayerIn(14)(56), H =>TobLayerOut(14)(55), L =>TobLayerOut(14)(56));
1821  compExch_Layer_14_to_15_sites_57_58: compExch port map(A =>TobLayerIn(14)(57), B =>TobLayerIn(14)(58), H =>TobLayerOut(14)(57), L =>TobLayerOut(14)(58));
1822  compExch_Layer_14_to_15_sites_59_60: compExch port map(A =>TobLayerIn(14)(59), B =>TobLayerIn(14)(60), H =>TobLayerOut(14)(59), L =>TobLayerOut(14)(60));
1823  compExch_Layer_14_to_15_sites_61_62: compExch port map(A =>TobLayerIn(14)(61), B =>TobLayerIn(14)(62), H =>TobLayerOut(14)(61), L =>TobLayerOut(14)(62));
1824  TobLayerOut(14)(32)<=TobLayerIn(14)(32);
1825  TobLayerOut(14)(63)<=TobLayerIn(14)(63);
1826 
1827 
1828  TobLayerOut(10)(64)<=TobLayerIn(10)(64);
1829  TobLayerOut(10)(65)<=TobLayerIn(10)(65);
1830  TobLayerOut(10)(66)<=TobLayerIn(10)(66);
1831  TobLayerOut(10)(67)<=TobLayerIn(10)(67);
1832  TobLayerOut(10)(68)<=TobLayerIn(10)(68);
1833  TobLayerOut(10)(69)<=TobLayerIn(10)(69);
1834 
1835  TobLayerOut(11)(64)<=TobLayerIn(11)(64);
1836  TobLayerOut(11)(65)<=TobLayerIn(11)(65);
1837  TobLayerOut(11)(66)<=TobLayerIn(11)(66);
1838  TobLayerOut(11)(67)<=TobLayerIn(11)(67);
1839  TobLayerOut(11)(68)<=TobLayerIn(11)(68);
1840  TobLayerOut(11)(69)<=TobLayerIn(11)(69);
1841 
1842  TobLayerOut(12)(64)<=TobLayerIn(12)(64);
1843  TobLayerOut(12)(65)<=TobLayerIn(12)(65);
1844  TobLayerOut(12)(66)<=TobLayerIn(12)(66);
1845  TobLayerOut(12)(67)<=TobLayerIn(12)(67);
1846  TobLayerOut(12)(68)<=TobLayerIn(12)(68);
1847  TobLayerOut(12)(69)<=TobLayerIn(12)(69);
1848 
1849  compExch_Layer_13_to_14_sites_66_68: compExch port map(A =>TobLayerIn(13)(66), B =>TobLayerIn(13)(68), H =>TobLayerOut(13)(66), L =>TobLayerOut(13)(68));
1850  compExch_Layer_13_to_14_sites_67_69: compExch port map(A =>TobLayerIn(13)(67), B =>TobLayerIn(13)(69), H =>TobLayerOut(13)(67), L =>TobLayerOut(13)(69));
1851  TobLayerOut(13)(64)<=TobLayerIn(13)(64);
1852  TobLayerOut(13)(65)<=TobLayerIn(13)(65);
1853 
1854 
1855  compExch_Layer_14_to_15_sites_65_66: compExch port map(A =>TobLayerIn(14)(65), B =>TobLayerIn(14)(66), H =>TobLayerOut(14)(65), L =>TobLayerOut(14)(66));
1856  compExch_Layer_14_to_15_sites_67_68: compExch port map(A =>TobLayerIn(14)(67), B =>TobLayerIn(14)(68), H =>TobLayerOut(14)(67), L =>TobLayerOut(14)(68));
1857  TobLayerOut(14)(64)<=TobLayerIn(14)(64);
1858  TobLayerOut(14)(69)<=TobLayerIn(14)(69);
1859 
1860 
1861 
1862 
1863 
1864 
1865 
1866  compExch_Layer_15_to_16_sites_00_32: compExch port map(A =>TobLayerIn(15)(0), B =>TobLayerIn(15)(32), H =>TobLayerOut(15)(0), L =>TobLayerOut(15)(32));
1867  compExch_Layer_15_to_16_sites_01_33: compExch port map(A =>TobLayerIn(15)(1), B =>TobLayerIn(15)(33), H =>TobLayerOut(15)(1), L =>TobLayerOut(15)(33));
1868  compExch_Layer_15_to_16_sites_02_34: compExch port map(A =>TobLayerIn(15)(2), B =>TobLayerIn(15)(34), H =>TobLayerOut(15)(2), L =>TobLayerOut(15)(34));
1869  compExch_Layer_15_to_16_sites_03_35: compExch port map(A =>TobLayerIn(15)(3), B =>TobLayerIn(15)(35), H =>TobLayerOut(15)(3), L =>TobLayerOut(15)(35));
1870  compExch_Layer_15_to_16_sites_04_36: compExch port map(A =>TobLayerIn(15)(4), B =>TobLayerIn(15)(36), H =>TobLayerOut(15)(4), L =>TobLayerOut(15)(36));
1871  compExch_Layer_15_to_16_sites_05_37: compExch port map(A =>TobLayerIn(15)(5), B =>TobLayerIn(15)(37), H =>TobLayerOut(15)(5), L =>TobLayerOut(15)(37));
1872  compExch_Layer_15_to_16_sites_06_38: compExch port map(A =>TobLayerIn(15)(6), B =>TobLayerIn(15)(38), H =>TobLayerOut(15)(6), L =>TobLayerOut(15)(38));
1873  compExch_Layer_15_to_16_sites_07_39: compExch port map(A =>TobLayerIn(15)(7), B =>TobLayerIn(15)(39), H =>TobLayerOut(15)(7), L =>TobLayerOut(15)(39));
1874  compExch_Layer_15_to_16_sites_08_40: compExch port map(A =>TobLayerIn(15)(8), B =>TobLayerIn(15)(40), H =>TobLayerOut(15)(8), L =>TobLayerOut(15)(40));
1875  compExch_Layer_15_to_16_sites_09_41: compExch port map(A =>TobLayerIn(15)(9), B =>TobLayerIn(15)(41), H =>TobLayerOut(15)(9), L =>TobLayerOut(15)(41));
1876  compExch_Layer_15_to_16_sites_10_42: compExch port map(A =>TobLayerIn(15)(10), B =>TobLayerIn(15)(42), H =>TobLayerOut(15)(10), L =>TobLayerOut(15)(42));
1877  compExch_Layer_15_to_16_sites_11_43: compExch port map(A =>TobLayerIn(15)(11), B =>TobLayerIn(15)(43), H =>TobLayerOut(15)(11), L =>TobLayerOut(15)(43));
1878  compExch_Layer_15_to_16_sites_12_44: compExch port map(A =>TobLayerIn(15)(12), B =>TobLayerIn(15)(44), H =>TobLayerOut(15)(12), L =>TobLayerOut(15)(44));
1879  compExch_Layer_15_to_16_sites_13_45: compExch port map(A =>TobLayerIn(15)(13), B =>TobLayerIn(15)(45), H =>TobLayerOut(15)(13), L =>TobLayerOut(15)(45));
1880  compExch_Layer_15_to_16_sites_14_46: compExch port map(A =>TobLayerIn(15)(14), B =>TobLayerIn(15)(46), H =>TobLayerOut(15)(14), L =>TobLayerOut(15)(46));
1881  compExch_Layer_15_to_16_sites_15_47: compExch port map(A =>TobLayerIn(15)(15), B =>TobLayerIn(15)(47), H =>TobLayerOut(15)(15), L =>TobLayerOut(15)(47));
1882  compExch_Layer_15_to_16_sites_16_48: compExch port map(A =>TobLayerIn(15)(16), B =>TobLayerIn(15)(48), H =>TobLayerOut(15)(16), L =>TobLayerOut(15)(48));
1883  compExch_Layer_15_to_16_sites_17_49: compExch port map(A =>TobLayerIn(15)(17), B =>TobLayerIn(15)(49), H =>TobLayerOut(15)(17), L =>TobLayerOut(15)(49));
1884  compExch_Layer_15_to_16_sites_18_50: compExch port map(A =>TobLayerIn(15)(18), B =>TobLayerIn(15)(50), H =>TobLayerOut(15)(18), L =>TobLayerOut(15)(50));
1885  compExch_Layer_15_to_16_sites_19_51: compExch port map(A =>TobLayerIn(15)(19), B =>TobLayerIn(15)(51), H =>TobLayerOut(15)(19), L =>TobLayerOut(15)(51));
1886  compExch_Layer_15_to_16_sites_20_52: compExch port map(A =>TobLayerIn(15)(20), B =>TobLayerIn(15)(52), H =>TobLayerOut(15)(20), L =>TobLayerOut(15)(52));
1887  compExch_Layer_15_to_16_sites_21_53: compExch port map(A =>TobLayerIn(15)(21), B =>TobLayerIn(15)(53), H =>TobLayerOut(15)(21), L =>TobLayerOut(15)(53));
1888  compExch_Layer_15_to_16_sites_22_54: compExch port map(A =>TobLayerIn(15)(22), B =>TobLayerIn(15)(54), H =>TobLayerOut(15)(22), L =>TobLayerOut(15)(54));
1889  compExch_Layer_15_to_16_sites_23_55: compExch port map(A =>TobLayerIn(15)(23), B =>TobLayerIn(15)(55), H =>TobLayerOut(15)(23), L =>TobLayerOut(15)(55));
1890  compExch_Layer_15_to_16_sites_24_56: compExch port map(A =>TobLayerIn(15)(24), B =>TobLayerIn(15)(56), H =>TobLayerOut(15)(24), L =>TobLayerOut(15)(56));
1891  compExch_Layer_15_to_16_sites_25_57: compExch port map(A =>TobLayerIn(15)(25), B =>TobLayerIn(15)(57), H =>TobLayerOut(15)(25), L =>TobLayerOut(15)(57));
1892  compExch_Layer_15_to_16_sites_26_58: compExch port map(A =>TobLayerIn(15)(26), B =>TobLayerIn(15)(58), H =>TobLayerOut(15)(26), L =>TobLayerOut(15)(58));
1893  compExch_Layer_15_to_16_sites_27_59: compExch port map(A =>TobLayerIn(15)(27), B =>TobLayerIn(15)(59), H =>TobLayerOut(15)(27), L =>TobLayerOut(15)(59));
1894  compExch_Layer_15_to_16_sites_28_60: compExch port map(A =>TobLayerIn(15)(28), B =>TobLayerIn(15)(60), H =>TobLayerOut(15)(28), L =>TobLayerOut(15)(60));
1895  compExch_Layer_15_to_16_sites_29_61: compExch port map(A =>TobLayerIn(15)(29), B =>TobLayerIn(15)(61), H =>TobLayerOut(15)(29), L =>TobLayerOut(15)(61));
1896  compExch_Layer_15_to_16_sites_30_62: compExch port map(A =>TobLayerIn(15)(30), B =>TobLayerIn(15)(62), H =>TobLayerOut(15)(30), L =>TobLayerOut(15)(62));
1897  compExch_Layer_15_to_16_sites_31_63: compExch port map(A =>TobLayerIn(15)(31), B =>TobLayerIn(15)(63), H =>TobLayerOut(15)(31), L =>TobLayerOut(15)(63));
1898 
1899 
1900  compExch_Layer_16_to_17_sites_16_32: compExch port map(A =>TobLayerIn(16)(16), B =>TobLayerIn(16)(32), H =>TobLayerOut(16)(16), L =>TobLayerOut(16)(32));
1901  compExch_Layer_16_to_17_sites_17_33: compExch port map(A =>TobLayerIn(16)(17), B =>TobLayerIn(16)(33), H =>TobLayerOut(16)(17), L =>TobLayerOut(16)(33));
1902  compExch_Layer_16_to_17_sites_18_34: compExch port map(A =>TobLayerIn(16)(18), B =>TobLayerIn(16)(34), H =>TobLayerOut(16)(18), L =>TobLayerOut(16)(34));
1903  compExch_Layer_16_to_17_sites_19_35: compExch port map(A =>TobLayerIn(16)(19), B =>TobLayerIn(16)(35), H =>TobLayerOut(16)(19), L =>TobLayerOut(16)(35));
1904  compExch_Layer_16_to_17_sites_20_36: compExch port map(A =>TobLayerIn(16)(20), B =>TobLayerIn(16)(36), H =>TobLayerOut(16)(20), L =>TobLayerOut(16)(36));
1905  compExch_Layer_16_to_17_sites_21_37: compExch port map(A =>TobLayerIn(16)(21), B =>TobLayerIn(16)(37), H =>TobLayerOut(16)(21), L =>TobLayerOut(16)(37));
1906  compExch_Layer_16_to_17_sites_22_38: compExch port map(A =>TobLayerIn(16)(22), B =>TobLayerIn(16)(38), H =>TobLayerOut(16)(22), L =>TobLayerOut(16)(38));
1907  compExch_Layer_16_to_17_sites_23_39: compExch port map(A =>TobLayerIn(16)(23), B =>TobLayerIn(16)(39), H =>TobLayerOut(16)(23), L =>TobLayerOut(16)(39));
1908  compExch_Layer_16_to_17_sites_24_40: compExch port map(A =>TobLayerIn(16)(24), B =>TobLayerIn(16)(40), H =>TobLayerOut(16)(24), L =>TobLayerOut(16)(40));
1909  compExch_Layer_16_to_17_sites_25_41: compExch port map(A =>TobLayerIn(16)(25), B =>TobLayerIn(16)(41), H =>TobLayerOut(16)(25), L =>TobLayerOut(16)(41));
1910  compExch_Layer_16_to_17_sites_26_42: compExch port map(A =>TobLayerIn(16)(26), B =>TobLayerIn(16)(42), H =>TobLayerOut(16)(26), L =>TobLayerOut(16)(42));
1911  compExch_Layer_16_to_17_sites_27_43: compExch port map(A =>TobLayerIn(16)(27), B =>TobLayerIn(16)(43), H =>TobLayerOut(16)(27), L =>TobLayerOut(16)(43));
1912  compExch_Layer_16_to_17_sites_28_44: compExch port map(A =>TobLayerIn(16)(28), B =>TobLayerIn(16)(44), H =>TobLayerOut(16)(28), L =>TobLayerOut(16)(44));
1913  compExch_Layer_16_to_17_sites_29_45: compExch port map(A =>TobLayerIn(16)(29), B =>TobLayerIn(16)(45), H =>TobLayerOut(16)(29), L =>TobLayerOut(16)(45));
1914  compExch_Layer_16_to_17_sites_30_46: compExch port map(A =>TobLayerIn(16)(30), B =>TobLayerIn(16)(46), H =>TobLayerOut(16)(30), L =>TobLayerOut(16)(46));
1915  compExch_Layer_16_to_17_sites_31_47: compExch port map(A =>TobLayerIn(16)(31), B =>TobLayerIn(16)(47), H =>TobLayerOut(16)(31), L =>TobLayerOut(16)(47));
1916  TobLayerOut(16)(0)<=TobLayerIn(16)(0);
1917  TobLayerOut(16)(1)<=TobLayerIn(16)(1);
1918  TobLayerOut(16)(2)<=TobLayerIn(16)(2);
1919  TobLayerOut(16)(3)<=TobLayerIn(16)(3);
1920  TobLayerOut(16)(4)<=TobLayerIn(16)(4);
1921  TobLayerOut(16)(5)<=TobLayerIn(16)(5);
1922  TobLayerOut(16)(6)<=TobLayerIn(16)(6);
1923  TobLayerOut(16)(7)<=TobLayerIn(16)(7);
1924  TobLayerOut(16)(8)<=TobLayerIn(16)(8);
1925  TobLayerOut(16)(9)<=TobLayerIn(16)(9);
1926  TobLayerOut(16)(10)<=TobLayerIn(16)(10);
1927  TobLayerOut(16)(11)<=TobLayerIn(16)(11);
1928  TobLayerOut(16)(12)<=TobLayerIn(16)(12);
1929  TobLayerOut(16)(13)<=TobLayerIn(16)(13);
1930  TobLayerOut(16)(14)<=TobLayerIn(16)(14);
1931  TobLayerOut(16)(15)<=TobLayerIn(16)(15);
1932  TobLayerOut(16)(48)<=TobLayerIn(16)(48);
1933  TobLayerOut(16)(49)<=TobLayerIn(16)(49);
1934  TobLayerOut(16)(50)<=TobLayerIn(16)(50);
1935  TobLayerOut(16)(51)<=TobLayerIn(16)(51);
1936  TobLayerOut(16)(52)<=TobLayerIn(16)(52);
1937  TobLayerOut(16)(53)<=TobLayerIn(16)(53);
1938  TobLayerOut(16)(54)<=TobLayerIn(16)(54);
1939  TobLayerOut(16)(55)<=TobLayerIn(16)(55);
1940  TobLayerOut(16)(56)<=TobLayerIn(16)(56);
1941  TobLayerOut(16)(57)<=TobLayerIn(16)(57);
1942  TobLayerOut(16)(58)<=TobLayerIn(16)(58);
1943  TobLayerOut(16)(59)<=TobLayerIn(16)(59);
1944  TobLayerOut(16)(60)<=TobLayerIn(16)(60);
1945  TobLayerOut(16)(61)<=TobLayerIn(16)(61);
1946  TobLayerOut(16)(62)<=TobLayerIn(16)(62);
1947  TobLayerOut(16)(63)<=TobLayerIn(16)(63);
1948 
1949 
1950  compExch_Layer_17_to_18_sites_08_16: compExch port map(A =>TobLayerIn(17)(8), B =>TobLayerIn(17)(16), H =>TobLayerOut(17)(8), L =>TobLayerOut(17)(16));
1951  compExch_Layer_17_to_18_sites_09_17: compExch port map(A =>TobLayerIn(17)(9), B =>TobLayerIn(17)(17), H =>TobLayerOut(17)(9), L =>TobLayerOut(17)(17));
1952  compExch_Layer_17_to_18_sites_10_18: compExch port map(A =>TobLayerIn(17)(10), B =>TobLayerIn(17)(18), H =>TobLayerOut(17)(10), L =>TobLayerOut(17)(18));
1953  compExch_Layer_17_to_18_sites_11_19: compExch port map(A =>TobLayerIn(17)(11), B =>TobLayerIn(17)(19), H =>TobLayerOut(17)(11), L =>TobLayerOut(17)(19));
1954  compExch_Layer_17_to_18_sites_12_20: compExch port map(A =>TobLayerIn(17)(12), B =>TobLayerIn(17)(20), H =>TobLayerOut(17)(12), L =>TobLayerOut(17)(20));
1955  compExch_Layer_17_to_18_sites_13_21: compExch port map(A =>TobLayerIn(17)(13), B =>TobLayerIn(17)(21), H =>TobLayerOut(17)(13), L =>TobLayerOut(17)(21));
1956  compExch_Layer_17_to_18_sites_14_22: compExch port map(A =>TobLayerIn(17)(14), B =>TobLayerIn(17)(22), H =>TobLayerOut(17)(14), L =>TobLayerOut(17)(22));
1957  compExch_Layer_17_to_18_sites_15_23: compExch port map(A =>TobLayerIn(17)(15), B =>TobLayerIn(17)(23), H =>TobLayerOut(17)(15), L =>TobLayerOut(17)(23));
1958  compExch_Layer_17_to_18_sites_24_32: compExch port map(A =>TobLayerIn(17)(24), B =>TobLayerIn(17)(32), H =>TobLayerOut(17)(24), L =>TobLayerOut(17)(32));
1959  compExch_Layer_17_to_18_sites_25_33: compExch port map(A =>TobLayerIn(17)(25), B =>TobLayerIn(17)(33), H =>TobLayerOut(17)(25), L =>TobLayerOut(17)(33));
1960  compExch_Layer_17_to_18_sites_26_34: compExch port map(A =>TobLayerIn(17)(26), B =>TobLayerIn(17)(34), H =>TobLayerOut(17)(26), L =>TobLayerOut(17)(34));
1961  compExch_Layer_17_to_18_sites_27_35: compExch port map(A =>TobLayerIn(17)(27), B =>TobLayerIn(17)(35), H =>TobLayerOut(17)(27), L =>TobLayerOut(17)(35));
1962  compExch_Layer_17_to_18_sites_28_36: compExch port map(A =>TobLayerIn(17)(28), B =>TobLayerIn(17)(36), H =>TobLayerOut(17)(28), L =>TobLayerOut(17)(36));
1963  compExch_Layer_17_to_18_sites_29_37: compExch port map(A =>TobLayerIn(17)(29), B =>TobLayerIn(17)(37), H =>TobLayerOut(17)(29), L =>TobLayerOut(17)(37));
1964  compExch_Layer_17_to_18_sites_30_38: compExch port map(A =>TobLayerIn(17)(30), B =>TobLayerIn(17)(38), H =>TobLayerOut(17)(30), L =>TobLayerOut(17)(38));
1965  compExch_Layer_17_to_18_sites_31_39: compExch port map(A =>TobLayerIn(17)(31), B =>TobLayerIn(17)(39), H =>TobLayerOut(17)(31), L =>TobLayerOut(17)(39));
1966  compExch_Layer_17_to_18_sites_40_48: compExch port map(A =>TobLayerIn(17)(40), B =>TobLayerIn(17)(48), H =>TobLayerOut(17)(40), L =>TobLayerOut(17)(48));
1967  compExch_Layer_17_to_18_sites_41_49: compExch port map(A =>TobLayerIn(17)(41), B =>TobLayerIn(17)(49), H =>TobLayerOut(17)(41), L =>TobLayerOut(17)(49));
1968  compExch_Layer_17_to_18_sites_42_50: compExch port map(A =>TobLayerIn(17)(42), B =>TobLayerIn(17)(50), H =>TobLayerOut(17)(42), L =>TobLayerOut(17)(50));
1969  compExch_Layer_17_to_18_sites_43_51: compExch port map(A =>TobLayerIn(17)(43), B =>TobLayerIn(17)(51), H =>TobLayerOut(17)(43), L =>TobLayerOut(17)(51));
1970  compExch_Layer_17_to_18_sites_44_52: compExch port map(A =>TobLayerIn(17)(44), B =>TobLayerIn(17)(52), H =>TobLayerOut(17)(44), L =>TobLayerOut(17)(52));
1971  compExch_Layer_17_to_18_sites_45_53: compExch port map(A =>TobLayerIn(17)(45), B =>TobLayerIn(17)(53), H =>TobLayerOut(17)(45), L =>TobLayerOut(17)(53));
1972  compExch_Layer_17_to_18_sites_46_54: compExch port map(A =>TobLayerIn(17)(46), B =>TobLayerIn(17)(54), H =>TobLayerOut(17)(46), L =>TobLayerOut(17)(54));
1973  compExch_Layer_17_to_18_sites_47_55: compExch port map(A =>TobLayerIn(17)(47), B =>TobLayerIn(17)(55), H =>TobLayerOut(17)(47), L =>TobLayerOut(17)(55));
1974  TobLayerOut(17)(0)<=TobLayerIn(17)(0);
1975  TobLayerOut(17)(1)<=TobLayerIn(17)(1);
1976  TobLayerOut(17)(2)<=TobLayerIn(17)(2);
1977  TobLayerOut(17)(3)<=TobLayerIn(17)(3);
1978  TobLayerOut(17)(4)<=TobLayerIn(17)(4);
1979  TobLayerOut(17)(5)<=TobLayerIn(17)(5);
1980  TobLayerOut(17)(6)<=TobLayerIn(17)(6);
1981  TobLayerOut(17)(7)<=TobLayerIn(17)(7);
1982  TobLayerOut(17)(56)<=TobLayerIn(17)(56);
1983  TobLayerOut(17)(57)<=TobLayerIn(17)(57);
1984  TobLayerOut(17)(58)<=TobLayerIn(17)(58);
1985  TobLayerOut(17)(59)<=TobLayerIn(17)(59);
1986  TobLayerOut(17)(60)<=TobLayerIn(17)(60);
1987  TobLayerOut(17)(61)<=TobLayerIn(17)(61);
1988  TobLayerOut(17)(62)<=TobLayerIn(17)(62);
1989  TobLayerOut(17)(63)<=TobLayerIn(17)(63);
1990 
1991 
1992  compExch_Layer_18_to_19_sites_04_08: compExch port map(A =>TobLayerIn(18)(4), B =>TobLayerIn(18)(8), H =>TobLayerOut(18)(4), L =>TobLayerOut(18)(8));
1993  compExch_Layer_18_to_19_sites_05_09: compExch port map(A =>TobLayerIn(18)(5), B =>TobLayerIn(18)(9), H =>TobLayerOut(18)(5), L =>TobLayerOut(18)(9));
1994  compExch_Layer_18_to_19_sites_06_10: compExch port map(A =>TobLayerIn(18)(6), B =>TobLayerIn(18)(10), H =>TobLayerOut(18)(6), L =>TobLayerOut(18)(10));
1995  compExch_Layer_18_to_19_sites_07_11: compExch port map(A =>TobLayerIn(18)(7), B =>TobLayerIn(18)(11), H =>TobLayerOut(18)(7), L =>TobLayerOut(18)(11));
1996  compExch_Layer_18_to_19_sites_12_16: compExch port map(A =>TobLayerIn(18)(12), B =>TobLayerIn(18)(16), H =>TobLayerOut(18)(12), L =>TobLayerOut(18)(16));
1997  compExch_Layer_18_to_19_sites_13_17: compExch port map(A =>TobLayerIn(18)(13), B =>TobLayerIn(18)(17), H =>TobLayerOut(18)(13), L =>TobLayerOut(18)(17));
1998  compExch_Layer_18_to_19_sites_14_18: compExch port map(A =>TobLayerIn(18)(14), B =>TobLayerIn(18)(18), H =>TobLayerOut(18)(14), L =>TobLayerOut(18)(18));
1999  compExch_Layer_18_to_19_sites_15_19: compExch port map(A =>TobLayerIn(18)(15), B =>TobLayerIn(18)(19), H =>TobLayerOut(18)(15), L =>TobLayerOut(18)(19));
2000  compExch_Layer_18_to_19_sites_20_24: compExch port map(A =>TobLayerIn(18)(20), B =>TobLayerIn(18)(24), H =>TobLayerOut(18)(20), L =>TobLayerOut(18)(24));
2001  compExch_Layer_18_to_19_sites_21_25: compExch port map(A =>TobLayerIn(18)(21), B =>TobLayerIn(18)(25), H =>TobLayerOut(18)(21), L =>TobLayerOut(18)(25));
2002  compExch_Layer_18_to_19_sites_22_26: compExch port map(A =>TobLayerIn(18)(22), B =>TobLayerIn(18)(26), H =>TobLayerOut(18)(22), L =>TobLayerOut(18)(26));
2003  compExch_Layer_18_to_19_sites_23_27: compExch port map(A =>TobLayerIn(18)(23), B =>TobLayerIn(18)(27), H =>TobLayerOut(18)(23), L =>TobLayerOut(18)(27));
2004  compExch_Layer_18_to_19_sites_28_32: compExch port map(A =>TobLayerIn(18)(28), B =>TobLayerIn(18)(32), H =>TobLayerOut(18)(28), L =>TobLayerOut(18)(32));
2005  compExch_Layer_18_to_19_sites_29_33: compExch port map(A =>TobLayerIn(18)(29), B =>TobLayerIn(18)(33), H =>TobLayerOut(18)(29), L =>TobLayerOut(18)(33));
2006  compExch_Layer_18_to_19_sites_30_34: compExch port map(A =>TobLayerIn(18)(30), B =>TobLayerIn(18)(34), H =>TobLayerOut(18)(30), L =>TobLayerOut(18)(34));
2007  compExch_Layer_18_to_19_sites_31_35: compExch port map(A =>TobLayerIn(18)(31), B =>TobLayerIn(18)(35), H =>TobLayerOut(18)(31), L =>TobLayerOut(18)(35));
2008  compExch_Layer_18_to_19_sites_36_40: compExch port map(A =>TobLayerIn(18)(36), B =>TobLayerIn(18)(40), H =>TobLayerOut(18)(36), L =>TobLayerOut(18)(40));
2009  compExch_Layer_18_to_19_sites_37_41: compExch port map(A =>TobLayerIn(18)(37), B =>TobLayerIn(18)(41), H =>TobLayerOut(18)(37), L =>TobLayerOut(18)(41));
2010  compExch_Layer_18_to_19_sites_38_42: compExch port map(A =>TobLayerIn(18)(38), B =>TobLayerIn(18)(42), H =>TobLayerOut(18)(38), L =>TobLayerOut(18)(42));
2011  compExch_Layer_18_to_19_sites_39_43: compExch port map(A =>TobLayerIn(18)(39), B =>TobLayerIn(18)(43), H =>TobLayerOut(18)(39), L =>TobLayerOut(18)(43));
2012  compExch_Layer_18_to_19_sites_44_48: compExch port map(A =>TobLayerIn(18)(44), B =>TobLayerIn(18)(48), H =>TobLayerOut(18)(44), L =>TobLayerOut(18)(48));
2013  compExch_Layer_18_to_19_sites_45_49: compExch port map(A =>TobLayerIn(18)(45), B =>TobLayerIn(18)(49), H =>TobLayerOut(18)(45), L =>TobLayerOut(18)(49));
2014  compExch_Layer_18_to_19_sites_46_50: compExch port map(A =>TobLayerIn(18)(46), B =>TobLayerIn(18)(50), H =>TobLayerOut(18)(46), L =>TobLayerOut(18)(50));
2015  compExch_Layer_18_to_19_sites_47_51: compExch port map(A =>TobLayerIn(18)(47), B =>TobLayerIn(18)(51), H =>TobLayerOut(18)(47), L =>TobLayerOut(18)(51));
2016  compExch_Layer_18_to_19_sites_52_56: compExch port map(A =>TobLayerIn(18)(52), B =>TobLayerIn(18)(56), H =>TobLayerOut(18)(52), L =>TobLayerOut(18)(56));
2017  compExch_Layer_18_to_19_sites_53_57: compExch port map(A =>TobLayerIn(18)(53), B =>TobLayerIn(18)(57), H =>TobLayerOut(18)(53), L =>TobLayerOut(18)(57));
2018  compExch_Layer_18_to_19_sites_54_58: compExch port map(A =>TobLayerIn(18)(54), B =>TobLayerIn(18)(58), H =>TobLayerOut(18)(54), L =>TobLayerOut(18)(58));
2019  compExch_Layer_18_to_19_sites_55_59: compExch port map(A =>TobLayerIn(18)(55), B =>TobLayerIn(18)(59), H =>TobLayerOut(18)(55), L =>TobLayerOut(18)(59));
2020  TobLayerOut(18)(0)<=TobLayerIn(18)(0);
2021  TobLayerOut(18)(1)<=TobLayerIn(18)(1);
2022  TobLayerOut(18)(2)<=TobLayerIn(18)(2);
2023  TobLayerOut(18)(3)<=TobLayerIn(18)(3);
2024  TobLayerOut(18)(60)<=TobLayerIn(18)(60);
2025  TobLayerOut(18)(61)<=TobLayerIn(18)(61);
2026  TobLayerOut(18)(62)<=TobLayerIn(18)(62);
2027  TobLayerOut(18)(63)<=TobLayerIn(18)(63);
2028 
2029 
2030  compExch_Layer_19_to_20_sites_02_04: compExch port map(A =>TobLayerIn(19)(2), B =>TobLayerIn(19)(4), H =>TobLayerOut(19)(2), L =>TobLayerOut(19)(4));
2031  compExch_Layer_19_to_20_sites_03_05: compExch port map(A =>TobLayerIn(19)(3), B =>TobLayerIn(19)(5), H =>TobLayerOut(19)(3), L =>TobLayerOut(19)(5));
2032  compExch_Layer_19_to_20_sites_06_08: compExch port map(A =>TobLayerIn(19)(6), B =>TobLayerIn(19)(8), H =>TobLayerOut(19)(6), L =>TobLayerOut(19)(8));
2033  compExch_Layer_19_to_20_sites_07_09: compExch port map(A =>TobLayerIn(19)(7), B =>TobLayerIn(19)(9), H =>TobLayerOut(19)(7), L =>TobLayerOut(19)(9));
2034  compExch_Layer_19_to_20_sites_10_12: compExch port map(A =>TobLayerIn(19)(10), B =>TobLayerIn(19)(12), H =>TobLayerOut(19)(10), L =>TobLayerOut(19)(12));
2035  compExch_Layer_19_to_20_sites_11_13: compExch port map(A =>TobLayerIn(19)(11), B =>TobLayerIn(19)(13), H =>TobLayerOut(19)(11), L =>TobLayerOut(19)(13));
2036  compExch_Layer_19_to_20_sites_14_16: compExch port map(A =>TobLayerIn(19)(14), B =>TobLayerIn(19)(16), H =>TobLayerOut(19)(14), L =>TobLayerOut(19)(16));
2037  compExch_Layer_19_to_20_sites_15_17: compExch port map(A =>TobLayerIn(19)(15), B =>TobLayerIn(19)(17), H =>TobLayerOut(19)(15), L =>TobLayerOut(19)(17));
2038  compExch_Layer_19_to_20_sites_18_20: compExch port map(A =>TobLayerIn(19)(18), B =>TobLayerIn(19)(20), H =>TobLayerOut(19)(18), L =>TobLayerOut(19)(20));
2039  compExch_Layer_19_to_20_sites_19_21: compExch port map(A =>TobLayerIn(19)(19), B =>TobLayerIn(19)(21), H =>TobLayerOut(19)(19), L =>TobLayerOut(19)(21));
2040  compExch_Layer_19_to_20_sites_22_24: compExch port map(A =>TobLayerIn(19)(22), B =>TobLayerIn(19)(24), H =>TobLayerOut(19)(22), L =>TobLayerOut(19)(24));
2041  compExch_Layer_19_to_20_sites_23_25: compExch port map(A =>TobLayerIn(19)(23), B =>TobLayerIn(19)(25), H =>TobLayerOut(19)(23), L =>TobLayerOut(19)(25));
2042  compExch_Layer_19_to_20_sites_26_28: compExch port map(A =>TobLayerIn(19)(26), B =>TobLayerIn(19)(28), H =>TobLayerOut(19)(26), L =>TobLayerOut(19)(28));
2043  compExch_Layer_19_to_20_sites_27_29: compExch port map(A =>TobLayerIn(19)(27), B =>TobLayerIn(19)(29), H =>TobLayerOut(19)(27), L =>TobLayerOut(19)(29));
2044  compExch_Layer_19_to_20_sites_30_32: compExch port map(A =>TobLayerIn(19)(30), B =>TobLayerIn(19)(32), H =>TobLayerOut(19)(30), L =>TobLayerOut(19)(32));
2045  compExch_Layer_19_to_20_sites_31_33: compExch port map(A =>TobLayerIn(19)(31), B =>TobLayerIn(19)(33), H =>TobLayerOut(19)(31), L =>TobLayerOut(19)(33));
2046  compExch_Layer_19_to_20_sites_34_36: compExch port map(A =>TobLayerIn(19)(34), B =>TobLayerIn(19)(36), H =>TobLayerOut(19)(34), L =>TobLayerOut(19)(36));
2047  compExch_Layer_19_to_20_sites_35_37: compExch port map(A =>TobLayerIn(19)(35), B =>TobLayerIn(19)(37), H =>TobLayerOut(19)(35), L =>TobLayerOut(19)(37));
2048  compExch_Layer_19_to_20_sites_38_40: compExch port map(A =>TobLayerIn(19)(38), B =>TobLayerIn(19)(40), H =>TobLayerOut(19)(38), L =>TobLayerOut(19)(40));
2049  compExch_Layer_19_to_20_sites_39_41: compExch port map(A =>TobLayerIn(19)(39), B =>TobLayerIn(19)(41), H =>TobLayerOut(19)(39), L =>TobLayerOut(19)(41));
2050  compExch_Layer_19_to_20_sites_42_44: compExch port map(A =>TobLayerIn(19)(42), B =>TobLayerIn(19)(44), H =>TobLayerOut(19)(42), L =>TobLayerOut(19)(44));
2051  compExch_Layer_19_to_20_sites_43_45: compExch port map(A =>TobLayerIn(19)(43), B =>TobLayerIn(19)(45), H =>TobLayerOut(19)(43), L =>TobLayerOut(19)(45));
2052  compExch_Layer_19_to_20_sites_46_48: compExch port map(A =>TobLayerIn(19)(46), B =>TobLayerIn(19)(48), H =>TobLayerOut(19)(46), L =>TobLayerOut(19)(48));
2053  compExch_Layer_19_to_20_sites_47_49: compExch port map(A =>TobLayerIn(19)(47), B =>TobLayerIn(19)(49), H =>TobLayerOut(19)(47), L =>TobLayerOut(19)(49));
2054  compExch_Layer_19_to_20_sites_50_52: compExch port map(A =>TobLayerIn(19)(50), B =>TobLayerIn(19)(52), H =>TobLayerOut(19)(50), L =>TobLayerOut(19)(52));
2055  compExch_Layer_19_to_20_sites_51_53: compExch port map(A =>TobLayerIn(19)(51), B =>TobLayerIn(19)(53), H =>TobLayerOut(19)(51), L =>TobLayerOut(19)(53));
2056  compExch_Layer_19_to_20_sites_54_56: compExch port map(A =>TobLayerIn(19)(54), B =>TobLayerIn(19)(56), H =>TobLayerOut(19)(54), L =>TobLayerOut(19)(56));
2057  compExch_Layer_19_to_20_sites_55_57: compExch port map(A =>TobLayerIn(19)(55), B =>TobLayerIn(19)(57), H =>TobLayerOut(19)(55), L =>TobLayerOut(19)(57));
2058  compExch_Layer_19_to_20_sites_58_60: compExch port map(A =>TobLayerIn(19)(58), B =>TobLayerIn(19)(60), H =>TobLayerOut(19)(58), L =>TobLayerOut(19)(60));
2059  compExch_Layer_19_to_20_sites_59_61: compExch port map(A =>TobLayerIn(19)(59), B =>TobLayerIn(19)(61), H =>TobLayerOut(19)(59), L =>TobLayerOut(19)(61));
2060  TobLayerOut(19)(0)<=TobLayerIn(19)(0);
2061  TobLayerOut(19)(1)<=TobLayerIn(19)(1);
2062  TobLayerOut(19)(62)<=TobLayerIn(19)(62);
2063  TobLayerOut(19)(63)<=TobLayerIn(19)(63);
2064 
2065 
2066  compExch_Layer_20_to_21_sites_01_02: compExch port map(A =>TobLayerIn(20)(1), B =>TobLayerIn(20)(2), H =>TobLayerOut(20)(1), L =>TobLayerOut(20)(2));
2067  compExch_Layer_20_to_21_sites_03_04: compExch port map(A =>TobLayerIn(20)(3), B =>TobLayerIn(20)(4), H =>TobLayerOut(20)(3), L =>TobLayerOut(20)(4));
2068  compExch_Layer_20_to_21_sites_05_06: compExch port map(A =>TobLayerIn(20)(5), B =>TobLayerIn(20)(6), H =>TobLayerOut(20)(5), L =>TobLayerOut(20)(6));
2069  compExch_Layer_20_to_21_sites_07_08: compExch port map(A =>TobLayerIn(20)(7), B =>TobLayerIn(20)(8), H =>TobLayerOut(20)(7), L =>TobLayerOut(20)(8));
2070  compExch_Layer_20_to_21_sites_09_10: compExch port map(A =>TobLayerIn(20)(9), B =>TobLayerIn(20)(10), H =>TobLayerOut(20)(9), L =>TobLayerOut(20)(10));
2071  compExch_Layer_20_to_21_sites_11_12: compExch port map(A =>TobLayerIn(20)(11), B =>TobLayerIn(20)(12), H =>TobLayerOut(20)(11), L =>TobLayerOut(20)(12));
2072  compExch_Layer_20_to_21_sites_13_14: compExch port map(A =>TobLayerIn(20)(13), B =>TobLayerIn(20)(14), H =>TobLayerOut(20)(13), L =>TobLayerOut(20)(14));
2073  compExch_Layer_20_to_21_sites_15_16: compExch port map(A =>TobLayerIn(20)(15), B =>TobLayerIn(20)(16), H =>TobLayerOut(20)(15), L =>TobLayerOut(20)(16));
2074  compExch_Layer_20_to_21_sites_17_18: compExch port map(A =>TobLayerIn(20)(17), B =>TobLayerIn(20)(18), H =>TobLayerOut(20)(17), L =>TobLayerOut(20)(18));
2075  compExch_Layer_20_to_21_sites_19_20: compExch port map(A =>TobLayerIn(20)(19), B =>TobLayerIn(20)(20), H =>TobLayerOut(20)(19), L =>TobLayerOut(20)(20));
2076  compExch_Layer_20_to_21_sites_21_22: compExch port map(A =>TobLayerIn(20)(21), B =>TobLayerIn(20)(22), H =>TobLayerOut(20)(21), L =>TobLayerOut(20)(22));
2077  compExch_Layer_20_to_21_sites_23_24: compExch port map(A =>TobLayerIn(20)(23), B =>TobLayerIn(20)(24), H =>TobLayerOut(20)(23), L =>TobLayerOut(20)(24));
2078  compExch_Layer_20_to_21_sites_25_26: compExch port map(A =>TobLayerIn(20)(25), B =>TobLayerIn(20)(26), H =>TobLayerOut(20)(25), L =>TobLayerOut(20)(26));
2079  compExch_Layer_20_to_21_sites_27_28: compExch port map(A =>TobLayerIn(20)(27), B =>TobLayerIn(20)(28), H =>TobLayerOut(20)(27), L =>TobLayerOut(20)(28));
2080  compExch_Layer_20_to_21_sites_29_30: compExch port map(A =>TobLayerIn(20)(29), B =>TobLayerIn(20)(30), H =>TobLayerOut(20)(29), L =>TobLayerOut(20)(30));
2081  compExch_Layer_20_to_21_sites_31_32: compExch port map(A =>TobLayerIn(20)(31), B =>TobLayerIn(20)(32), H =>TobLayerOut(20)(31), L =>TobLayerOut(20)(32));
2082  compExch_Layer_20_to_21_sites_33_34: compExch port map(A =>TobLayerIn(20)(33), B =>TobLayerIn(20)(34), H =>TobLayerOut(20)(33), L =>TobLayerOut(20)(34));
2083  compExch_Layer_20_to_21_sites_35_36: compExch port map(A =>TobLayerIn(20)(35), B =>TobLayerIn(20)(36), H =>TobLayerOut(20)(35), L =>TobLayerOut(20)(36));
2084  compExch_Layer_20_to_21_sites_37_38: compExch port map(A =>TobLayerIn(20)(37), B =>TobLayerIn(20)(38), H =>TobLayerOut(20)(37), L =>TobLayerOut(20)(38));
2085  compExch_Layer_20_to_21_sites_39_40: compExch port map(A =>TobLayerIn(20)(39), B =>TobLayerIn(20)(40), H =>TobLayerOut(20)(39), L =>TobLayerOut(20)(40));
2086  compExch_Layer_20_to_21_sites_41_42: compExch port map(A =>TobLayerIn(20)(41), B =>TobLayerIn(20)(42), H =>TobLayerOut(20)(41), L =>TobLayerOut(20)(42));
2087  compExch_Layer_20_to_21_sites_43_44: compExch port map(A =>TobLayerIn(20)(43), B =>TobLayerIn(20)(44), H =>TobLayerOut(20)(43), L =>TobLayerOut(20)(44));
2088  compExch_Layer_20_to_21_sites_45_46: compExch port map(A =>TobLayerIn(20)(45), B =>TobLayerIn(20)(46), H =>TobLayerOut(20)(45), L =>TobLayerOut(20)(46));
2089  compExch_Layer_20_to_21_sites_47_48: compExch port map(A =>TobLayerIn(20)(47), B =>TobLayerIn(20)(48), H =>TobLayerOut(20)(47), L =>TobLayerOut(20)(48));
2090  compExch_Layer_20_to_21_sites_49_50: compExch port map(A =>TobLayerIn(20)(49), B =>TobLayerIn(20)(50), H =>TobLayerOut(20)(49), L =>TobLayerOut(20)(50));
2091  compExch_Layer_20_to_21_sites_51_52: compExch port map(A =>TobLayerIn(20)(51), B =>TobLayerIn(20)(52), H =>TobLayerOut(20)(51), L =>TobLayerOut(20)(52));
2092  compExch_Layer_20_to_21_sites_53_54: compExch port map(A =>TobLayerIn(20)(53), B =>TobLayerIn(20)(54), H =>TobLayerOut(20)(53), L =>TobLayerOut(20)(54));
2093  compExch_Layer_20_to_21_sites_55_56: compExch port map(A =>TobLayerIn(20)(55), B =>TobLayerIn(20)(56), H =>TobLayerOut(20)(55), L =>TobLayerOut(20)(56));
2094  compExch_Layer_20_to_21_sites_57_58: compExch port map(A =>TobLayerIn(20)(57), B =>TobLayerIn(20)(58), H =>TobLayerOut(20)(57), L =>TobLayerOut(20)(58));
2095  compExch_Layer_20_to_21_sites_59_60: compExch port map(A =>TobLayerIn(20)(59), B =>TobLayerIn(20)(60), H =>TobLayerOut(20)(59), L =>TobLayerOut(20)(60));
2096  compExch_Layer_20_to_21_sites_61_62: compExch port map(A =>TobLayerIn(20)(61), B =>TobLayerIn(20)(62), H =>TobLayerOut(20)(61), L =>TobLayerOut(20)(62));
2097  TobLayerOut(20)(0)<=TobLayerIn(20)(0);
2098  TobLayerOut(20)(63)<=TobLayerIn(20)(63);
2099 
2100 
2101  TobLayerOut(15)(64)<=TobLayerIn(15)(64);
2102  TobLayerOut(15)(65)<=TobLayerIn(15)(65);
2103  TobLayerOut(15)(66)<=TobLayerIn(15)(66);
2104  TobLayerOut(15)(67)<=TobLayerIn(15)(67);
2105  TobLayerOut(15)(68)<=TobLayerIn(15)(68);
2106  TobLayerOut(15)(69)<=TobLayerIn(15)(69);
2107 
2108  TobLayerOut(16)(64)<=TobLayerIn(16)(64);
2109  TobLayerOut(16)(65)<=TobLayerIn(16)(65);
2110  TobLayerOut(16)(66)<=TobLayerIn(16)(66);
2111  TobLayerOut(16)(67)<=TobLayerIn(16)(67);
2112  TobLayerOut(16)(68)<=TobLayerIn(16)(68);
2113  TobLayerOut(16)(69)<=TobLayerIn(16)(69);
2114 
2115  TobLayerOut(17)(64)<=TobLayerIn(17)(64);
2116  TobLayerOut(17)(65)<=TobLayerIn(17)(65);
2117  TobLayerOut(17)(66)<=TobLayerIn(17)(66);
2118  TobLayerOut(17)(67)<=TobLayerIn(17)(67);
2119  TobLayerOut(17)(68)<=TobLayerIn(17)(68);
2120  TobLayerOut(17)(69)<=TobLayerIn(17)(69);
2121 
2122  TobLayerOut(18)(64)<=TobLayerIn(18)(64);
2123  TobLayerOut(18)(65)<=TobLayerIn(18)(65);
2124  TobLayerOut(18)(66)<=TobLayerIn(18)(66);
2125  TobLayerOut(18)(67)<=TobLayerIn(18)(67);
2126  TobLayerOut(18)(68)<=TobLayerIn(18)(68);
2127  TobLayerOut(18)(69)<=TobLayerIn(18)(69);
2128 
2129  compExch_Layer_19_to_20_sites_66_68: compExch port map(A =>TobLayerIn(19)(66), B =>TobLayerIn(19)(68), H =>TobLayerOut(19)(66), L =>TobLayerOut(19)(68));
2130  compExch_Layer_19_to_20_sites_67_69: compExch port map(A =>TobLayerIn(19)(67), B =>TobLayerIn(19)(69), H =>TobLayerOut(19)(67), L =>TobLayerOut(19)(69));
2131  TobLayerOut(19)(64)<=TobLayerIn(19)(64);
2132  TobLayerOut(19)(65)<=TobLayerIn(19)(65);
2133 
2134 
2135  compExch_Layer_20_to_21_sites_65_66: compExch port map(A =>TobLayerIn(20)(65), B =>TobLayerIn(20)(66), H =>TobLayerOut(20)(65), L =>TobLayerOut(20)(66));
2136  compExch_Layer_20_to_21_sites_67_68: compExch port map(A =>TobLayerIn(20)(67), B =>TobLayerIn(20)(68), H =>TobLayerOut(20)(67), L =>TobLayerOut(20)(68));
2137  TobLayerOut(20)(64)<=TobLayerIn(20)(64);
2138  TobLayerOut(20)(69)<=TobLayerIn(20)(69);
2139 
2140 
2141  compExch_Layer_21_to_22_sites_00_64: compExch port map(A =>TobLayerIn(21)(0), B =>TobLayerIn(21)(64), H =>TobLayerOut(21)(0), L =>TobLayerOut(21)(64));
2142  compExch_Layer_21_to_22_sites_01_65: compExch port map(A =>TobLayerIn(21)(1), B =>TobLayerIn(21)(65), H =>TobLayerOut(21)(1), L =>TobLayerOut(21)(65));
2143  compExch_Layer_21_to_22_sites_02_66: compExch port map(A =>TobLayerIn(21)(2), B =>TobLayerIn(21)(66), H =>TobLayerOut(21)(2), L =>TobLayerOut(21)(66));
2144  compExch_Layer_21_to_22_sites_03_67: compExch port map(A =>TobLayerIn(21)(3), B =>TobLayerIn(21)(67), H =>TobLayerOut(21)(3), L =>TobLayerOut(21)(67));
2145  compExch_Layer_21_to_22_sites_04_68: compExch port map(A =>TobLayerIn(21)(4), B =>TobLayerIn(21)(68), H =>TobLayerOut(21)(4), L =>TobLayerOut(21)(68));
2146  compExch_Layer_21_to_22_sites_05_69: compExch port map(A =>TobLayerIn(21)(5), B =>TobLayerIn(21)(69), H =>TobLayerOut(21)(5), L =>TobLayerOut(21)(69));
2147  TobLayerOut(21)(6)<=TobLayerIn(21)(6);
2148  TobLayerOut(21)(7)<=TobLayerIn(21)(7);
2149  TobLayerOut(21)(8)<=TobLayerIn(21)(8);
2150  TobLayerOut(21)(9)<=TobLayerIn(21)(9);
2151  TobLayerOut(21)(10)<=TobLayerIn(21)(10);
2152  TobLayerOut(21)(11)<=TobLayerIn(21)(11);
2153  TobLayerOut(21)(12)<=TobLayerIn(21)(12);
2154  TobLayerOut(21)(13)<=TobLayerIn(21)(13);
2155  TobLayerOut(21)(14)<=TobLayerIn(21)(14);
2156  TobLayerOut(21)(15)<=TobLayerIn(21)(15);
2157  TobLayerOut(21)(16)<=TobLayerIn(21)(16);
2158  TobLayerOut(21)(17)<=TobLayerIn(21)(17);
2159  TobLayerOut(21)(18)<=TobLayerIn(21)(18);
2160  TobLayerOut(21)(19)<=TobLayerIn(21)(19);
2161  TobLayerOut(21)(20)<=TobLayerIn(21)(20);
2162  TobLayerOut(21)(21)<=TobLayerIn(21)(21);
2163  TobLayerOut(21)(22)<=TobLayerIn(21)(22);
2164  TobLayerOut(21)(23)<=TobLayerIn(21)(23);
2165  TobLayerOut(21)(24)<=TobLayerIn(21)(24);
2166  TobLayerOut(21)(25)<=TobLayerIn(21)(25);
2167  TobLayerOut(21)(26)<=TobLayerIn(21)(26);
2168  TobLayerOut(21)(27)<=TobLayerIn(21)(27);
2169  TobLayerOut(21)(28)<=TobLayerIn(21)(28);
2170  TobLayerOut(21)(29)<=TobLayerIn(21)(29);
2171  TobLayerOut(21)(30)<=TobLayerIn(21)(30);
2172  TobLayerOut(21)(31)<=TobLayerIn(21)(31);
2173  TobLayerOut(21)(32)<=TobLayerIn(21)(32);
2174  TobLayerOut(21)(33)<=TobLayerIn(21)(33);
2175  TobLayerOut(21)(34)<=TobLayerIn(21)(34);
2176  TobLayerOut(21)(35)<=TobLayerIn(21)(35);
2177  TobLayerOut(21)(36)<=TobLayerIn(21)(36);
2178  TobLayerOut(21)(37)<=TobLayerIn(21)(37);
2179  TobLayerOut(21)(38)<=TobLayerIn(21)(38);
2180  TobLayerOut(21)(39)<=TobLayerIn(21)(39);
2181  TobLayerOut(21)(40)<=TobLayerIn(21)(40);
2182  TobLayerOut(21)(41)<=TobLayerIn(21)(41);
2183  TobLayerOut(21)(42)<=TobLayerIn(21)(42);
2184  TobLayerOut(21)(43)<=TobLayerIn(21)(43);
2185  TobLayerOut(21)(44)<=TobLayerIn(21)(44);
2186  TobLayerOut(21)(45)<=TobLayerIn(21)(45);
2187  TobLayerOut(21)(46)<=TobLayerIn(21)(46);
2188  TobLayerOut(21)(47)<=TobLayerIn(21)(47);
2189  TobLayerOut(21)(48)<=TobLayerIn(21)(48);
2190  TobLayerOut(21)(49)<=TobLayerIn(21)(49);
2191  TobLayerOut(21)(50)<=TobLayerIn(21)(50);
2192  TobLayerOut(21)(51)<=TobLayerIn(21)(51);
2193  TobLayerOut(21)(52)<=TobLayerIn(21)(52);
2194  TobLayerOut(21)(53)<=TobLayerIn(21)(53);
2195  TobLayerOut(21)(54)<=TobLayerIn(21)(54);
2196  TobLayerOut(21)(55)<=TobLayerIn(21)(55);
2197  TobLayerOut(21)(56)<=TobLayerIn(21)(56);
2198  TobLayerOut(21)(57)<=TobLayerIn(21)(57);
2199  TobLayerOut(21)(58)<=TobLayerIn(21)(58);
2200  TobLayerOut(21)(59)<=TobLayerIn(21)(59);
2201  TobLayerOut(21)(60)<=TobLayerIn(21)(60);
2202  TobLayerOut(21)(61)<=TobLayerIn(21)(61);
2203  TobLayerOut(21)(62)<=TobLayerIn(21)(62);
2204  TobLayerOut(21)(63)<=TobLayerIn(21)(63);
2205 
2206 
2207  compExch_Layer_22_to_23_sites_32_64: compExch port map(A =>TobLayerIn(22)(32), B =>TobLayerIn(22)(64), H =>TobLayerOut(22)(32), L =>TobLayerOut(22)(64));
2208  compExch_Layer_22_to_23_sites_33_65: compExch port map(A =>TobLayerIn(22)(33), B =>TobLayerIn(22)(65), H =>TobLayerOut(22)(33), L =>TobLayerOut(22)(65));
2209  compExch_Layer_22_to_23_sites_34_66: compExch port map(A =>TobLayerIn(22)(34), B =>TobLayerIn(22)(66), H =>TobLayerOut(22)(34), L =>TobLayerOut(22)(66));
2210  compExch_Layer_22_to_23_sites_35_67: compExch port map(A =>TobLayerIn(22)(35), B =>TobLayerIn(22)(67), H =>TobLayerOut(22)(35), L =>TobLayerOut(22)(67));
2211  compExch_Layer_22_to_23_sites_36_68: compExch port map(A =>TobLayerIn(22)(36), B =>TobLayerIn(22)(68), H =>TobLayerOut(22)(36), L =>TobLayerOut(22)(68));
2212  compExch_Layer_22_to_23_sites_37_69: compExch port map(A =>TobLayerIn(22)(37), B =>TobLayerIn(22)(69), H =>TobLayerOut(22)(37), L =>TobLayerOut(22)(69));
2213  TobLayerOut(22)(0)<=TobLayerIn(22)(0);
2214  TobLayerOut(22)(1)<=TobLayerIn(22)(1);
2215  TobLayerOut(22)(2)<=TobLayerIn(22)(2);
2216  TobLayerOut(22)(3)<=TobLayerIn(22)(3);
2217  TobLayerOut(22)(4)<=TobLayerIn(22)(4);
2218  TobLayerOut(22)(5)<=TobLayerIn(22)(5);
2219  TobLayerOut(22)(6)<=TobLayerIn(22)(6);
2220  TobLayerOut(22)(7)<=TobLayerIn(22)(7);
2221  TobLayerOut(22)(8)<=TobLayerIn(22)(8);
2222  TobLayerOut(22)(9)<=TobLayerIn(22)(9);
2223  TobLayerOut(22)(10)<=TobLayerIn(22)(10);
2224  TobLayerOut(22)(11)<=TobLayerIn(22)(11);
2225  TobLayerOut(22)(12)<=TobLayerIn(22)(12);
2226  TobLayerOut(22)(13)<=TobLayerIn(22)(13);
2227  TobLayerOut(22)(14)<=TobLayerIn(22)(14);
2228  TobLayerOut(22)(15)<=TobLayerIn(22)(15);
2229  TobLayerOut(22)(16)<=TobLayerIn(22)(16);
2230  TobLayerOut(22)(17)<=TobLayerIn(22)(17);
2231  TobLayerOut(22)(18)<=TobLayerIn(22)(18);
2232  TobLayerOut(22)(19)<=TobLayerIn(22)(19);
2233  TobLayerOut(22)(20)<=TobLayerIn(22)(20);
2234  TobLayerOut(22)(21)<=TobLayerIn(22)(21);
2235  TobLayerOut(22)(22)<=TobLayerIn(22)(22);
2236  TobLayerOut(22)(23)<=TobLayerIn(22)(23);
2237  TobLayerOut(22)(24)<=TobLayerIn(22)(24);
2238  TobLayerOut(22)(25)<=TobLayerIn(22)(25);
2239  TobLayerOut(22)(26)<=TobLayerIn(22)(26);
2240  TobLayerOut(22)(27)<=TobLayerIn(22)(27);
2241  TobLayerOut(22)(28)<=TobLayerIn(22)(28);
2242  TobLayerOut(22)(29)<=TobLayerIn(22)(29);
2243  TobLayerOut(22)(30)<=TobLayerIn(22)(30);
2244  TobLayerOut(22)(31)<=TobLayerIn(22)(31);
2245  TobLayerOut(22)(38)<=TobLayerIn(22)(38);
2246  TobLayerOut(22)(39)<=TobLayerIn(22)(39);
2247  TobLayerOut(22)(40)<=TobLayerIn(22)(40);
2248  TobLayerOut(22)(41)<=TobLayerIn(22)(41);
2249  TobLayerOut(22)(42)<=TobLayerIn(22)(42);
2250  TobLayerOut(22)(43)<=TobLayerIn(22)(43);
2251  TobLayerOut(22)(44)<=TobLayerIn(22)(44);
2252  TobLayerOut(22)(45)<=TobLayerIn(22)(45);
2253  TobLayerOut(22)(46)<=TobLayerIn(22)(46);
2254  TobLayerOut(22)(47)<=TobLayerIn(22)(47);
2255  TobLayerOut(22)(48)<=TobLayerIn(22)(48);
2256  TobLayerOut(22)(49)<=TobLayerIn(22)(49);
2257  TobLayerOut(22)(50)<=TobLayerIn(22)(50);
2258  TobLayerOut(22)(51)<=TobLayerIn(22)(51);
2259  TobLayerOut(22)(52)<=TobLayerIn(22)(52);
2260  TobLayerOut(22)(53)<=TobLayerIn(22)(53);
2261  TobLayerOut(22)(54)<=TobLayerIn(22)(54);
2262  TobLayerOut(22)(55)<=TobLayerIn(22)(55);
2263  TobLayerOut(22)(56)<=TobLayerIn(22)(56);
2264  TobLayerOut(22)(57)<=TobLayerIn(22)(57);
2265  TobLayerOut(22)(58)<=TobLayerIn(22)(58);
2266  TobLayerOut(22)(59)<=TobLayerIn(22)(59);
2267  TobLayerOut(22)(60)<=TobLayerIn(22)(60);
2268  TobLayerOut(22)(61)<=TobLayerIn(22)(61);
2269  TobLayerOut(22)(62)<=TobLayerIn(22)(62);
2270  TobLayerOut(22)(63)<=TobLayerIn(22)(63);
2271 
2272 
2273  compExch_Layer_23_to_24_sites_16_32: compExch port map(A =>TobLayerIn(23)(16), B =>TobLayerIn(23)(32), H =>TobLayerOut(23)(16), L =>TobLayerOut(23)(32));
2274  compExch_Layer_23_to_24_sites_17_33: compExch port map(A =>TobLayerIn(23)(17), B =>TobLayerIn(23)(33), H =>TobLayerOut(23)(17), L =>TobLayerOut(23)(33));
2275  compExch_Layer_23_to_24_sites_18_34: compExch port map(A =>TobLayerIn(23)(18), B =>TobLayerIn(23)(34), H =>TobLayerOut(23)(18), L =>TobLayerOut(23)(34));
2276  compExch_Layer_23_to_24_sites_19_35: compExch port map(A =>TobLayerIn(23)(19), B =>TobLayerIn(23)(35), H =>TobLayerOut(23)(19), L =>TobLayerOut(23)(35));
2277  compExch_Layer_23_to_24_sites_20_36: compExch port map(A =>TobLayerIn(23)(20), B =>TobLayerIn(23)(36), H =>TobLayerOut(23)(20), L =>TobLayerOut(23)(36));
2278  compExch_Layer_23_to_24_sites_21_37: compExch port map(A =>TobLayerIn(23)(21), B =>TobLayerIn(23)(37), H =>TobLayerOut(23)(21), L =>TobLayerOut(23)(37));
2279  compExch_Layer_23_to_24_sites_22_38: compExch port map(A =>TobLayerIn(23)(22), B =>TobLayerIn(23)(38), H =>TobLayerOut(23)(22), L =>TobLayerOut(23)(38));
2280  compExch_Layer_23_to_24_sites_23_39: compExch port map(A =>TobLayerIn(23)(23), B =>TobLayerIn(23)(39), H =>TobLayerOut(23)(23), L =>TobLayerOut(23)(39));
2281  compExch_Layer_23_to_24_sites_24_40: compExch port map(A =>TobLayerIn(23)(24), B =>TobLayerIn(23)(40), H =>TobLayerOut(23)(24), L =>TobLayerOut(23)(40));
2282  compExch_Layer_23_to_24_sites_25_41: compExch port map(A =>TobLayerIn(23)(25), B =>TobLayerIn(23)(41), H =>TobLayerOut(23)(25), L =>TobLayerOut(23)(41));
2283  compExch_Layer_23_to_24_sites_26_42: compExch port map(A =>TobLayerIn(23)(26), B =>TobLayerIn(23)(42), H =>TobLayerOut(23)(26), L =>TobLayerOut(23)(42));
2284  compExch_Layer_23_to_24_sites_27_43: compExch port map(A =>TobLayerIn(23)(27), B =>TobLayerIn(23)(43), H =>TobLayerOut(23)(27), L =>TobLayerOut(23)(43));
2285  compExch_Layer_23_to_24_sites_28_44: compExch port map(A =>TobLayerIn(23)(28), B =>TobLayerIn(23)(44), H =>TobLayerOut(23)(28), L =>TobLayerOut(23)(44));
2286  compExch_Layer_23_to_24_sites_29_45: compExch port map(A =>TobLayerIn(23)(29), B =>TobLayerIn(23)(45), H =>TobLayerOut(23)(29), L =>TobLayerOut(23)(45));
2287  compExch_Layer_23_to_24_sites_30_46: compExch port map(A =>TobLayerIn(23)(30), B =>TobLayerIn(23)(46), H =>TobLayerOut(23)(30), L =>TobLayerOut(23)(46));
2288  compExch_Layer_23_to_24_sites_31_47: compExch port map(A =>TobLayerIn(23)(31), B =>TobLayerIn(23)(47), H =>TobLayerOut(23)(31), L =>TobLayerOut(23)(47));
2289  compExch_Layer_23_to_24_sites_48_64: compExch port map(A =>TobLayerIn(23)(48), B =>TobLayerIn(23)(64), H =>TobLayerOut(23)(48), L =>TobLayerOut(23)(64));
2290  compExch_Layer_23_to_24_sites_49_65: compExch port map(A =>TobLayerIn(23)(49), B =>TobLayerIn(23)(65), H =>TobLayerOut(23)(49), L =>TobLayerOut(23)(65));
2291  compExch_Layer_23_to_24_sites_50_66: compExch port map(A =>TobLayerIn(23)(50), B =>TobLayerIn(23)(66), H =>TobLayerOut(23)(50), L =>TobLayerOut(23)(66));
2292  compExch_Layer_23_to_24_sites_51_67: compExch port map(A =>TobLayerIn(23)(51), B =>TobLayerIn(23)(67), H =>TobLayerOut(23)(51), L =>TobLayerOut(23)(67));
2293  compExch_Layer_23_to_24_sites_52_68: compExch port map(A =>TobLayerIn(23)(52), B =>TobLayerIn(23)(68), H =>TobLayerOut(23)(52), L =>TobLayerOut(23)(68));
2294  compExch_Layer_23_to_24_sites_53_69: compExch port map(A =>TobLayerIn(23)(53), B =>TobLayerIn(23)(69), H =>TobLayerOut(23)(53), L =>TobLayerOut(23)(69));
2295  TobLayerOut(23)(0)<=TobLayerIn(23)(0);
2296  TobLayerOut(23)(1)<=TobLayerIn(23)(1);
2297  TobLayerOut(23)(2)<=TobLayerIn(23)(2);
2298  TobLayerOut(23)(3)<=TobLayerIn(23)(3);
2299  TobLayerOut(23)(4)<=TobLayerIn(23)(4);
2300  TobLayerOut(23)(5)<=TobLayerIn(23)(5);
2301  TobLayerOut(23)(6)<=TobLayerIn(23)(6);
2302  TobLayerOut(23)(7)<=TobLayerIn(23)(7);
2303  TobLayerOut(23)(8)<=TobLayerIn(23)(8);
2304  TobLayerOut(23)(9)<=TobLayerIn(23)(9);
2305  TobLayerOut(23)(10)<=TobLayerIn(23)(10);
2306  TobLayerOut(23)(11)<=TobLayerIn(23)(11);
2307  TobLayerOut(23)(12)<=TobLayerIn(23)(12);
2308  TobLayerOut(23)(13)<=TobLayerIn(23)(13);
2309  TobLayerOut(23)(14)<=TobLayerIn(23)(14);
2310  TobLayerOut(23)(15)<=TobLayerIn(23)(15);
2311  TobLayerOut(23)(54)<=TobLayerIn(23)(54);
2312  TobLayerOut(23)(55)<=TobLayerIn(23)(55);
2313  TobLayerOut(23)(56)<=TobLayerIn(23)(56);
2314  TobLayerOut(23)(57)<=TobLayerIn(23)(57);
2315  TobLayerOut(23)(58)<=TobLayerIn(23)(58);
2316  TobLayerOut(23)(59)<=TobLayerIn(23)(59);
2317  TobLayerOut(23)(60)<=TobLayerIn(23)(60);
2318  TobLayerOut(23)(61)<=TobLayerIn(23)(61);
2319  TobLayerOut(23)(62)<=TobLayerIn(23)(62);
2320  TobLayerOut(23)(63)<=TobLayerIn(23)(63);
2321 
2322 
2323  compExch_Layer_24_to_25_sites_08_16: compExch port map(A =>TobLayerIn(24)(8), B =>TobLayerIn(24)(16), H =>TobLayerOut(24)(8), L =>TobLayerOut(24)(16));
2324  compExch_Layer_24_to_25_sites_09_17: compExch port map(A =>TobLayerIn(24)(9), B =>TobLayerIn(24)(17), H =>TobLayerOut(24)(9), L =>TobLayerOut(24)(17));
2325  compExch_Layer_24_to_25_sites_10_18: compExch port map(A =>TobLayerIn(24)(10), B =>TobLayerIn(24)(18), H =>TobLayerOut(24)(10), L =>TobLayerOut(24)(18));
2326  compExch_Layer_24_to_25_sites_11_19: compExch port map(A =>TobLayerIn(24)(11), B =>TobLayerIn(24)(19), H =>TobLayerOut(24)(11), L =>TobLayerOut(24)(19));
2327  compExch_Layer_24_to_25_sites_12_20: compExch port map(A =>TobLayerIn(24)(12), B =>TobLayerIn(24)(20), H =>TobLayerOut(24)(12), L =>TobLayerOut(24)(20));
2328  compExch_Layer_24_to_25_sites_13_21: compExch port map(A =>TobLayerIn(24)(13), B =>TobLayerIn(24)(21), H =>TobLayerOut(24)(13), L =>TobLayerOut(24)(21));
2329  compExch_Layer_24_to_25_sites_14_22: compExch port map(A =>TobLayerIn(24)(14), B =>TobLayerIn(24)(22), H =>TobLayerOut(24)(14), L =>TobLayerOut(24)(22));
2330  compExch_Layer_24_to_25_sites_15_23: compExch port map(A =>TobLayerIn(24)(15), B =>TobLayerIn(24)(23), H =>TobLayerOut(24)(15), L =>TobLayerOut(24)(23));
2331  compExch_Layer_24_to_25_sites_24_32: compExch port map(A =>TobLayerIn(24)(24), B =>TobLayerIn(24)(32), H =>TobLayerOut(24)(24), L =>TobLayerOut(24)(32));
2332  compExch_Layer_24_to_25_sites_25_33: compExch port map(A =>TobLayerIn(24)(25), B =>TobLayerIn(24)(33), H =>TobLayerOut(24)(25), L =>TobLayerOut(24)(33));
2333  compExch_Layer_24_to_25_sites_26_34: compExch port map(A =>TobLayerIn(24)(26), B =>TobLayerIn(24)(34), H =>TobLayerOut(24)(26), L =>TobLayerOut(24)(34));
2334  compExch_Layer_24_to_25_sites_27_35: compExch port map(A =>TobLayerIn(24)(27), B =>TobLayerIn(24)(35), H =>TobLayerOut(24)(27), L =>TobLayerOut(24)(35));
2335  compExch_Layer_24_to_25_sites_28_36: compExch port map(A =>TobLayerIn(24)(28), B =>TobLayerIn(24)(36), H =>TobLayerOut(24)(28), L =>TobLayerOut(24)(36));
2336  compExch_Layer_24_to_25_sites_29_37: compExch port map(A =>TobLayerIn(24)(29), B =>TobLayerIn(24)(37), H =>TobLayerOut(24)(29), L =>TobLayerOut(24)(37));
2337  compExch_Layer_24_to_25_sites_30_38: compExch port map(A =>TobLayerIn(24)(30), B =>TobLayerIn(24)(38), H =>TobLayerOut(24)(30), L =>TobLayerOut(24)(38));
2338  compExch_Layer_24_to_25_sites_31_39: compExch port map(A =>TobLayerIn(24)(31), B =>TobLayerIn(24)(39), H =>TobLayerOut(24)(31), L =>TobLayerOut(24)(39));
2339  compExch_Layer_24_to_25_sites_40_48: compExch port map(A =>TobLayerIn(24)(40), B =>TobLayerIn(24)(48), H =>TobLayerOut(24)(40), L =>TobLayerOut(24)(48));
2340  compExch_Layer_24_to_25_sites_41_49: compExch port map(A =>TobLayerIn(24)(41), B =>TobLayerIn(24)(49), H =>TobLayerOut(24)(41), L =>TobLayerOut(24)(49));
2341  compExch_Layer_24_to_25_sites_42_50: compExch port map(A =>TobLayerIn(24)(42), B =>TobLayerIn(24)(50), H =>TobLayerOut(24)(42), L =>TobLayerOut(24)(50));
2342  compExch_Layer_24_to_25_sites_43_51: compExch port map(A =>TobLayerIn(24)(43), B =>TobLayerIn(24)(51), H =>TobLayerOut(24)(43), L =>TobLayerOut(24)(51));
2343  compExch_Layer_24_to_25_sites_44_52: compExch port map(A =>TobLayerIn(24)(44), B =>TobLayerIn(24)(52), H =>TobLayerOut(24)(44), L =>TobLayerOut(24)(52));
2344  compExch_Layer_24_to_25_sites_45_53: compExch port map(A =>TobLayerIn(24)(45), B =>TobLayerIn(24)(53), H =>TobLayerOut(24)(45), L =>TobLayerOut(24)(53));
2345  compExch_Layer_24_to_25_sites_46_54: compExch port map(A =>TobLayerIn(24)(46), B =>TobLayerIn(24)(54), H =>TobLayerOut(24)(46), L =>TobLayerOut(24)(54));
2346  compExch_Layer_24_to_25_sites_47_55: compExch port map(A =>TobLayerIn(24)(47), B =>TobLayerIn(24)(55), H =>TobLayerOut(24)(47), L =>TobLayerOut(24)(55));
2347  compExch_Layer_24_to_25_sites_56_64: compExch port map(A =>TobLayerIn(24)(56), B =>TobLayerIn(24)(64), H =>TobLayerOut(24)(56), L =>TobLayerOut(24)(64));
2348  compExch_Layer_24_to_25_sites_57_65: compExch port map(A =>TobLayerIn(24)(57), B =>TobLayerIn(24)(65), H =>TobLayerOut(24)(57), L =>TobLayerOut(24)(65));
2349  compExch_Layer_24_to_25_sites_58_66: compExch port map(A =>TobLayerIn(24)(58), B =>TobLayerIn(24)(66), H =>TobLayerOut(24)(58), L =>TobLayerOut(24)(66));
2350  compExch_Layer_24_to_25_sites_59_67: compExch port map(A =>TobLayerIn(24)(59), B =>TobLayerIn(24)(67), H =>TobLayerOut(24)(59), L =>TobLayerOut(24)(67));
2351  compExch_Layer_24_to_25_sites_60_68: compExch port map(A =>TobLayerIn(24)(60), B =>TobLayerIn(24)(68), H =>TobLayerOut(24)(60), L =>TobLayerOut(24)(68));
2352  compExch_Layer_24_to_25_sites_61_69: compExch port map(A =>TobLayerIn(24)(61), B =>TobLayerIn(24)(69), H =>TobLayerOut(24)(61), L =>TobLayerOut(24)(69));
2353  TobLayerOut(24)(0)<=TobLayerIn(24)(0);
2354  TobLayerOut(24)(1)<=TobLayerIn(24)(1);
2355  TobLayerOut(24)(2)<=TobLayerIn(24)(2);
2356  TobLayerOut(24)(3)<=TobLayerIn(24)(3);
2357  TobLayerOut(24)(4)<=TobLayerIn(24)(4);
2358  TobLayerOut(24)(5)<=TobLayerIn(24)(5);
2359  TobLayerOut(24)(6)<=TobLayerIn(24)(6);
2360  TobLayerOut(24)(7)<=TobLayerIn(24)(7);
2361  TobLayerOut(24)(62)<=TobLayerIn(24)(62);
2362  TobLayerOut(24)(63)<=TobLayerIn(24)(63);
2363 
2364 
2365  compExch_Layer_25_to_26_sites_04_08: compExch port map(A =>TobLayerIn(25)(4), B =>TobLayerIn(25)(8), H =>TobLayerOut(25)(4), L =>TobLayerOut(25)(8));
2366  compExch_Layer_25_to_26_sites_05_09: compExch port map(A =>TobLayerIn(25)(5), B =>TobLayerIn(25)(9), H =>TobLayerOut(25)(5), L =>TobLayerOut(25)(9));
2367  compExch_Layer_25_to_26_sites_06_10: compExch port map(A =>TobLayerIn(25)(6), B =>TobLayerIn(25)(10), H =>TobLayerOut(25)(6), L =>TobLayerOut(25)(10));
2368  compExch_Layer_25_to_26_sites_07_11: compExch port map(A =>TobLayerIn(25)(7), B =>TobLayerIn(25)(11), H =>TobLayerOut(25)(7), L =>TobLayerOut(25)(11));
2369  compExch_Layer_25_to_26_sites_12_16: compExch port map(A =>TobLayerIn(25)(12), B =>TobLayerIn(25)(16), H =>TobLayerOut(25)(12), L =>TobLayerOut(25)(16));
2370  compExch_Layer_25_to_26_sites_13_17: compExch port map(A =>TobLayerIn(25)(13), B =>TobLayerIn(25)(17), H =>TobLayerOut(25)(13), L =>TobLayerOut(25)(17));
2371  compExch_Layer_25_to_26_sites_14_18: compExch port map(A =>TobLayerIn(25)(14), B =>TobLayerIn(25)(18), H =>TobLayerOut(25)(14), L =>TobLayerOut(25)(18));
2372  compExch_Layer_25_to_26_sites_15_19: compExch port map(A =>TobLayerIn(25)(15), B =>TobLayerIn(25)(19), H =>TobLayerOut(25)(15), L =>TobLayerOut(25)(19));
2373  compExch_Layer_25_to_26_sites_20_24: compExch port map(A =>TobLayerIn(25)(20), B =>TobLayerIn(25)(24), H =>TobLayerOut(25)(20), L =>TobLayerOut(25)(24));
2374  compExch_Layer_25_to_26_sites_21_25: compExch port map(A =>TobLayerIn(25)(21), B =>TobLayerIn(25)(25), H =>TobLayerOut(25)(21), L =>TobLayerOut(25)(25));
2375  compExch_Layer_25_to_26_sites_22_26: compExch port map(A =>TobLayerIn(25)(22), B =>TobLayerIn(25)(26), H =>TobLayerOut(25)(22), L =>TobLayerOut(25)(26));
2376  compExch_Layer_25_to_26_sites_23_27: compExch port map(A =>TobLayerIn(25)(23), B =>TobLayerIn(25)(27), H =>TobLayerOut(25)(23), L =>TobLayerOut(25)(27));
2377  compExch_Layer_25_to_26_sites_28_32: compExch port map(A =>TobLayerIn(25)(28), B =>TobLayerIn(25)(32), H =>TobLayerOut(25)(28), L =>TobLayerOut(25)(32));
2378  compExch_Layer_25_to_26_sites_29_33: compExch port map(A =>TobLayerIn(25)(29), B =>TobLayerIn(25)(33), H =>TobLayerOut(25)(29), L =>TobLayerOut(25)(33));
2379  compExch_Layer_25_to_26_sites_30_34: compExch port map(A =>TobLayerIn(25)(30), B =>TobLayerIn(25)(34), H =>TobLayerOut(25)(30), L =>TobLayerOut(25)(34));
2380  compExch_Layer_25_to_26_sites_31_35: compExch port map(A =>TobLayerIn(25)(31), B =>TobLayerIn(25)(35), H =>TobLayerOut(25)(31), L =>TobLayerOut(25)(35));
2381  compExch_Layer_25_to_26_sites_36_40: compExch port map(A =>TobLayerIn(25)(36), B =>TobLayerIn(25)(40), H =>TobLayerOut(25)(36), L =>TobLayerOut(25)(40));
2382  compExch_Layer_25_to_26_sites_37_41: compExch port map(A =>TobLayerIn(25)(37), B =>TobLayerIn(25)(41), H =>TobLayerOut(25)(37), L =>TobLayerOut(25)(41));
2383  compExch_Layer_25_to_26_sites_38_42: compExch port map(A =>TobLayerIn(25)(38), B =>TobLayerIn(25)(42), H =>TobLayerOut(25)(38), L =>TobLayerOut(25)(42));
2384  compExch_Layer_25_to_26_sites_39_43: compExch port map(A =>TobLayerIn(25)(39), B =>TobLayerIn(25)(43), H =>TobLayerOut(25)(39), L =>TobLayerOut(25)(43));
2385  compExch_Layer_25_to_26_sites_44_48: compExch port map(A =>TobLayerIn(25)(44), B =>TobLayerIn(25)(48), H =>TobLayerOut(25)(44), L =>TobLayerOut(25)(48));
2386  compExch_Layer_25_to_26_sites_45_49: compExch port map(A =>TobLayerIn(25)(45), B =>TobLayerIn(25)(49), H =>TobLayerOut(25)(45), L =>TobLayerOut(25)(49));
2387  compExch_Layer_25_to_26_sites_46_50: compExch port map(A =>TobLayerIn(25)(46), B =>TobLayerIn(25)(50), H =>TobLayerOut(25)(46), L =>TobLayerOut(25)(50));
2388  compExch_Layer_25_to_26_sites_47_51: compExch port map(A =>TobLayerIn(25)(47), B =>TobLayerIn(25)(51), H =>TobLayerOut(25)(47), L =>TobLayerOut(25)(51));
2389  compExch_Layer_25_to_26_sites_52_56: compExch port map(A =>TobLayerIn(25)(52), B =>TobLayerIn(25)(56), H =>TobLayerOut(25)(52), L =>TobLayerOut(25)(56));
2390  compExch_Layer_25_to_26_sites_53_57: compExch port map(A =>TobLayerIn(25)(53), B =>TobLayerIn(25)(57), H =>TobLayerOut(25)(53), L =>TobLayerOut(25)(57));
2391  compExch_Layer_25_to_26_sites_54_58: compExch port map(A =>TobLayerIn(25)(54), B =>TobLayerIn(25)(58), H =>TobLayerOut(25)(54), L =>TobLayerOut(25)(58));
2392  compExch_Layer_25_to_26_sites_55_59: compExch port map(A =>TobLayerIn(25)(55), B =>TobLayerIn(25)(59), H =>TobLayerOut(25)(55), L =>TobLayerOut(25)(59));
2393  compExch_Layer_25_to_26_sites_60_64: compExch port map(A =>TobLayerIn(25)(60), B =>TobLayerIn(25)(64), H =>TobLayerOut(25)(60), L =>TobLayerOut(25)(64));
2394  compExch_Layer_25_to_26_sites_61_65: compExch port map(A =>TobLayerIn(25)(61), B =>TobLayerIn(25)(65), H =>TobLayerOut(25)(61), L =>TobLayerOut(25)(65));
2395  compExch_Layer_25_to_26_sites_62_66: compExch port map(A =>TobLayerIn(25)(62), B =>TobLayerIn(25)(66), H =>TobLayerOut(25)(62), L =>TobLayerOut(25)(66));
2396  compExch_Layer_25_to_26_sites_63_67: compExch port map(A =>TobLayerIn(25)(63), B =>TobLayerIn(25)(67), H =>TobLayerOut(25)(63), L =>TobLayerOut(25)(67));
2397  TobLayerOut(25)(0)<=TobLayerIn(25)(0);
2398  TobLayerOut(25)(1)<=TobLayerIn(25)(1);
2399  TobLayerOut(25)(2)<=TobLayerIn(25)(2);
2400  TobLayerOut(25)(3)<=TobLayerIn(25)(3);
2401  TobLayerOut(25)(68)<=TobLayerIn(25)(68);
2402  TobLayerOut(25)(69)<=TobLayerIn(25)(69);
2403 
2404 
2405  compExch_Layer_26_to_27_sites_02_04: compExch port map(A =>TobLayerIn(26)(2), B =>TobLayerIn(26)(4), H =>TobLayerOut(26)(2), L =>TobLayerOut(26)(4));
2406  compExch_Layer_26_to_27_sites_03_05: compExch port map(A =>TobLayerIn(26)(3), B =>TobLayerIn(26)(5), H =>TobLayerOut(26)(3), L =>TobLayerOut(26)(5));
2407  compExch_Layer_26_to_27_sites_06_08: compExch port map(A =>TobLayerIn(26)(6), B =>TobLayerIn(26)(8), H =>TobLayerOut(26)(6), L =>TobLayerOut(26)(8));
2408  compExch_Layer_26_to_27_sites_07_09: compExch port map(A =>TobLayerIn(26)(7), B =>TobLayerIn(26)(9), H =>TobLayerOut(26)(7), L =>TobLayerOut(26)(9));
2409  compExch_Layer_26_to_27_sites_10_12: compExch port map(A =>TobLayerIn(26)(10), B =>TobLayerIn(26)(12), H =>TobLayerOut(26)(10), L =>TobLayerOut(26)(12));
2410  compExch_Layer_26_to_27_sites_11_13: compExch port map(A =>TobLayerIn(26)(11), B =>TobLayerIn(26)(13), H =>TobLayerOut(26)(11), L =>TobLayerOut(26)(13));
2411  compExch_Layer_26_to_27_sites_14_16: compExch port map(A =>TobLayerIn(26)(14), B =>TobLayerIn(26)(16), H =>TobLayerOut(26)(14), L =>TobLayerOut(26)(16));
2412  compExch_Layer_26_to_27_sites_15_17: compExch port map(A =>TobLayerIn(26)(15), B =>TobLayerIn(26)(17), H =>TobLayerOut(26)(15), L =>TobLayerOut(26)(17));
2413  compExch_Layer_26_to_27_sites_18_20: compExch port map(A =>TobLayerIn(26)(18), B =>TobLayerIn(26)(20), H =>TobLayerOut(26)(18), L =>TobLayerOut(26)(20));
2414  compExch_Layer_26_to_27_sites_19_21: compExch port map(A =>TobLayerIn(26)(19), B =>TobLayerIn(26)(21), H =>TobLayerOut(26)(19), L =>TobLayerOut(26)(21));
2415  compExch_Layer_26_to_27_sites_22_24: compExch port map(A =>TobLayerIn(26)(22), B =>TobLayerIn(26)(24), H =>TobLayerOut(26)(22), L =>TobLayerOut(26)(24));
2416  compExch_Layer_26_to_27_sites_23_25: compExch port map(A =>TobLayerIn(26)(23), B =>TobLayerIn(26)(25), H =>TobLayerOut(26)(23), L =>TobLayerOut(26)(25));
2417  compExch_Layer_26_to_27_sites_26_28: compExch port map(A =>TobLayerIn(26)(26), B =>TobLayerIn(26)(28), H =>TobLayerOut(26)(26), L =>TobLayerOut(26)(28));
2418  compExch_Layer_26_to_27_sites_27_29: compExch port map(A =>TobLayerIn(26)(27), B =>TobLayerIn(26)(29), H =>TobLayerOut(26)(27), L =>TobLayerOut(26)(29));
2419  compExch_Layer_26_to_27_sites_30_32: compExch port map(A =>TobLayerIn(26)(30), B =>TobLayerIn(26)(32), H =>TobLayerOut(26)(30), L =>TobLayerOut(26)(32));
2420  compExch_Layer_26_to_27_sites_31_33: compExch port map(A =>TobLayerIn(26)(31), B =>TobLayerIn(26)(33), H =>TobLayerOut(26)(31), L =>TobLayerOut(26)(33));
2421  compExch_Layer_26_to_27_sites_34_36: compExch port map(A =>TobLayerIn(26)(34), B =>TobLayerIn(26)(36), H =>TobLayerOut(26)(34), L =>TobLayerOut(26)(36));
2422  compExch_Layer_26_to_27_sites_35_37: compExch port map(A =>TobLayerIn(26)(35), B =>TobLayerIn(26)(37), H =>TobLayerOut(26)(35), L =>TobLayerOut(26)(37));
2423  compExch_Layer_26_to_27_sites_38_40: compExch port map(A =>TobLayerIn(26)(38), B =>TobLayerIn(26)(40), H =>TobLayerOut(26)(38), L =>TobLayerOut(26)(40));
2424  compExch_Layer_26_to_27_sites_39_41: compExch port map(A =>TobLayerIn(26)(39), B =>TobLayerIn(26)(41), H =>TobLayerOut(26)(39), L =>TobLayerOut(26)(41));
2425  compExch_Layer_26_to_27_sites_42_44: compExch port map(A =>TobLayerIn(26)(42), B =>TobLayerIn(26)(44), H =>TobLayerOut(26)(42), L =>TobLayerOut(26)(44));
2426  compExch_Layer_26_to_27_sites_43_45: compExch port map(A =>TobLayerIn(26)(43), B =>TobLayerIn(26)(45), H =>TobLayerOut(26)(43), L =>TobLayerOut(26)(45));
2427  compExch_Layer_26_to_27_sites_46_48: compExch port map(A =>TobLayerIn(26)(46), B =>TobLayerIn(26)(48), H =>TobLayerOut(26)(46), L =>TobLayerOut(26)(48));
2428  compExch_Layer_26_to_27_sites_47_49: compExch port map(A =>TobLayerIn(26)(47), B =>TobLayerIn(26)(49), H =>TobLayerOut(26)(47), L =>TobLayerOut(26)(49));
2429  compExch_Layer_26_to_27_sites_50_52: compExch port map(A =>TobLayerIn(26)(50), B =>TobLayerIn(26)(52), H =>TobLayerOut(26)(50), L =>TobLayerOut(26)(52));
2430  compExch_Layer_26_to_27_sites_51_53: compExch port map(A =>TobLayerIn(26)(51), B =>TobLayerIn(26)(53), H =>TobLayerOut(26)(51), L =>TobLayerOut(26)(53));
2431  compExch_Layer_26_to_27_sites_54_56: compExch port map(A =>TobLayerIn(26)(54), B =>TobLayerIn(26)(56), H =>TobLayerOut(26)(54), L =>TobLayerOut(26)(56));
2432  compExch_Layer_26_to_27_sites_55_57: compExch port map(A =>TobLayerIn(26)(55), B =>TobLayerIn(26)(57), H =>TobLayerOut(26)(55), L =>TobLayerOut(26)(57));
2433  compExch_Layer_26_to_27_sites_58_60: compExch port map(A =>TobLayerIn(26)(58), B =>TobLayerIn(26)(60), H =>TobLayerOut(26)(58), L =>TobLayerOut(26)(60));
2434  compExch_Layer_26_to_27_sites_59_61: compExch port map(A =>TobLayerIn(26)(59), B =>TobLayerIn(26)(61), H =>TobLayerOut(26)(59), L =>TobLayerOut(26)(61));
2435  compExch_Layer_26_to_27_sites_62_64: compExch port map(A =>TobLayerIn(26)(62), B =>TobLayerIn(26)(64), H =>TobLayerOut(26)(62), L =>TobLayerOut(26)(64));
2436  compExch_Layer_26_to_27_sites_63_65: compExch port map(A =>TobLayerIn(26)(63), B =>TobLayerIn(26)(65), H =>TobLayerOut(26)(63), L =>TobLayerOut(26)(65));
2437  compExch_Layer_26_to_27_sites_66_68: compExch port map(A =>TobLayerIn(26)(66), B =>TobLayerIn(26)(68), H =>TobLayerOut(26)(66), L =>TobLayerOut(26)(68));
2438  compExch_Layer_26_to_27_sites_67_69: compExch port map(A =>TobLayerIn(26)(67), B =>TobLayerIn(26)(69), H =>TobLayerOut(26)(67), L =>TobLayerOut(26)(69));
2439  TobLayerOut(26)(0)<=TobLayerIn(26)(0);
2440  TobLayerOut(26)(1)<=TobLayerIn(26)(1);
2441 
2442 
2443  compExch_Layer_27_to_28_sites_01_02: compExch port map(A =>TobLayerIn(27)(1), B =>TobLayerIn(27)(2), H =>TobLayerOut(27)(1), L =>TobLayerOut(27)(2));
2444  compExch_Layer_27_to_28_sites_03_04: compExch port map(A =>TobLayerIn(27)(3), B =>TobLayerIn(27)(4), H =>TobLayerOut(27)(3), L =>TobLayerOut(27)(4));
2445  compExch_Layer_27_to_28_sites_05_06: compExch port map(A =>TobLayerIn(27)(5), B =>TobLayerIn(27)(6), H =>TobLayerOut(27)(5), L =>TobLayerOut(27)(6));
2446  compExch_Layer_27_to_28_sites_07_08: compExch port map(A =>TobLayerIn(27)(7), B =>TobLayerIn(27)(8), H =>TobLayerOut(27)(7), L =>TobLayerOut(27)(8));
2447  compExch_Layer_27_to_28_sites_09_10: compExch port map(A =>TobLayerIn(27)(9), B =>TobLayerIn(27)(10), H =>TobLayerOut(27)(9), L =>TobLayerOut(27)(10));
2448  compExch_Layer_27_to_28_sites_11_12: compExch port map(A =>TobLayerIn(27)(11), B =>TobLayerIn(27)(12), H =>TobLayerOut(27)(11), L =>TobLayerOut(27)(12));
2449  compExch_Layer_27_to_28_sites_13_14: compExch port map(A =>TobLayerIn(27)(13), B =>TobLayerIn(27)(14), H =>TobLayerOut(27)(13), L =>TobLayerOut(27)(14));
2450  compExch_Layer_27_to_28_sites_15_16: compExch port map(A =>TobLayerIn(27)(15), B =>TobLayerIn(27)(16), H =>TobLayerOut(27)(15), L =>TobLayerOut(27)(16));
2451  compExch_Layer_27_to_28_sites_17_18: compExch port map(A =>TobLayerIn(27)(17), B =>TobLayerIn(27)(18), H =>TobLayerOut(27)(17), L =>TobLayerOut(27)(18));
2452  compExch_Layer_27_to_28_sites_19_20: compExch port map(A =>TobLayerIn(27)(19), B =>TobLayerIn(27)(20), H =>TobLayerOut(27)(19), L =>TobLayerOut(27)(20));
2453  compExch_Layer_27_to_28_sites_21_22: compExch port map(A =>TobLayerIn(27)(21), B =>TobLayerIn(27)(22), H =>TobLayerOut(27)(21), L =>TobLayerOut(27)(22));
2454  compExch_Layer_27_to_28_sites_23_24: compExch port map(A =>TobLayerIn(27)(23), B =>TobLayerIn(27)(24), H =>TobLayerOut(27)(23), L =>TobLayerOut(27)(24));
2455  compExch_Layer_27_to_28_sites_25_26: compExch port map(A =>TobLayerIn(27)(25), B =>TobLayerIn(27)(26), H =>TobLayerOut(27)(25), L =>TobLayerOut(27)(26));
2456  compExch_Layer_27_to_28_sites_27_28: compExch port map(A =>TobLayerIn(27)(27), B =>TobLayerIn(27)(28), H =>TobLayerOut(27)(27), L =>TobLayerOut(27)(28));
2457  compExch_Layer_27_to_28_sites_29_30: compExch port map(A =>TobLayerIn(27)(29), B =>TobLayerIn(27)(30), H =>TobLayerOut(27)(29), L =>TobLayerOut(27)(30));
2458  compExch_Layer_27_to_28_sites_31_32: compExch port map(A =>TobLayerIn(27)(31), B =>TobLayerIn(27)(32), H =>TobLayerOut(27)(31), L =>TobLayerOut(27)(32));
2459  compExch_Layer_27_to_28_sites_33_34: compExch port map(A =>TobLayerIn(27)(33), B =>TobLayerIn(27)(34), H =>TobLayerOut(27)(33), L =>TobLayerOut(27)(34));
2460  compExch_Layer_27_to_28_sites_35_36: compExch port map(A =>TobLayerIn(27)(35), B =>TobLayerIn(27)(36), H =>TobLayerOut(27)(35), L =>TobLayerOut(27)(36));
2461  compExch_Layer_27_to_28_sites_37_38: compExch port map(A =>TobLayerIn(27)(37), B =>TobLayerIn(27)(38), H =>TobLayerOut(27)(37), L =>TobLayerOut(27)(38));
2462  compExch_Layer_27_to_28_sites_39_40: compExch port map(A =>TobLayerIn(27)(39), B =>TobLayerIn(27)(40), H =>TobLayerOut(27)(39), L =>TobLayerOut(27)(40));
2463  compExch_Layer_27_to_28_sites_41_42: compExch port map(A =>TobLayerIn(27)(41), B =>TobLayerIn(27)(42), H =>TobLayerOut(27)(41), L =>TobLayerOut(27)(42));
2464  compExch_Layer_27_to_28_sites_43_44: compExch port map(A =>TobLayerIn(27)(43), B =>TobLayerIn(27)(44), H =>TobLayerOut(27)(43), L =>TobLayerOut(27)(44));
2465  compExch_Layer_27_to_28_sites_45_46: compExch port map(A =>TobLayerIn(27)(45), B =>TobLayerIn(27)(46), H =>TobLayerOut(27)(45), L =>TobLayerOut(27)(46));
2466  compExch_Layer_27_to_28_sites_47_48: compExch port map(A =>TobLayerIn(27)(47), B =>TobLayerIn(27)(48), H =>TobLayerOut(27)(47), L =>TobLayerOut(27)(48));
2467  compExch_Layer_27_to_28_sites_49_50: compExch port map(A =>TobLayerIn(27)(49), B =>TobLayerIn(27)(50), H =>TobLayerOut(27)(49), L =>TobLayerOut(27)(50));
2468  compExch_Layer_27_to_28_sites_51_52: compExch port map(A =>TobLayerIn(27)(51), B =>TobLayerIn(27)(52), H =>TobLayerOut(27)(51), L =>TobLayerOut(27)(52));
2469  compExch_Layer_27_to_28_sites_53_54: compExch port map(A =>TobLayerIn(27)(53), B =>TobLayerIn(27)(54), H =>TobLayerOut(27)(53), L =>TobLayerOut(27)(54));
2470  compExch_Layer_27_to_28_sites_55_56: compExch port map(A =>TobLayerIn(27)(55), B =>TobLayerIn(27)(56), H =>TobLayerOut(27)(55), L =>TobLayerOut(27)(56));
2471  compExch_Layer_27_to_28_sites_57_58: compExch port map(A =>TobLayerIn(27)(57), B =>TobLayerIn(27)(58), H =>TobLayerOut(27)(57), L =>TobLayerOut(27)(58));
2472  compExch_Layer_27_to_28_sites_59_60: compExch port map(A =>TobLayerIn(27)(59), B =>TobLayerIn(27)(60), H =>TobLayerOut(27)(59), L =>TobLayerOut(27)(60));
2473  compExch_Layer_27_to_28_sites_61_62: compExch port map(A =>TobLayerIn(27)(61), B =>TobLayerIn(27)(62), H =>TobLayerOut(27)(61), L =>TobLayerOut(27)(62));
2474  compExch_Layer_27_to_28_sites_63_64: compExch port map(A =>TobLayerIn(27)(63), B =>TobLayerIn(27)(64), H =>TobLayerOut(27)(63), L =>TobLayerOut(27)(64));
2475  compExch_Layer_27_to_28_sites_65_66: compExch port map(A =>TobLayerIn(27)(65), B =>TobLayerIn(27)(66), H =>TobLayerOut(27)(65), L =>TobLayerOut(27)(66));
2476  compExch_Layer_27_to_28_sites_67_68: compExch port map(A =>TobLayerIn(27)(67), B =>TobLayerIn(27)(68), H =>TobLayerOut(27)(67), L =>TobLayerOut(27)(68));
2477  TobLayerOut(27)(0)<=TobLayerIn(27)(0);
2478  TobLayerOut(27)(69)<=TobLayerIn(27)(69);
2479 
2480 
2481 -- number of layers: 28
2482 
2483 
2484 end RTL;
compExch compexch_layer_16_to_17_sites_27_43compexch_layer_16_to_17_sites_27_43
compExch compexch_layer_13_to_14_sites_66_68compexch_layer_13_to_14_sites_66_68
compExch compexch_layer_04_to_05_sites_10_12compexch_layer_04_to_05_sites_10_12
compExch compexch_layer_08_to_09_sites_19_21compexch_layer_08_to_09_sites_19_21
compExch compexch_layer_24_to_25_sites_09_17compexch_layer_24_to_25_sites_09_17
compExch compexch_layer_08_to_09_sites_50_52compexch_layer_08_to_09_sites_50_52
compExch compexch_layer_13_to_14_sites_07_09compexch_layer_13_to_14_sites_07_09
compExch compexch_layer_03_to_04_sites_18_22compexch_layer_03_to_04_sites_18_22
compExch compexch_layer_27_to_28_sites_15_16compexch_layer_27_to_28_sites_15_16
compExch compexch_layer_26_to_27_sites_55_57compexch_layer_26_to_27_sites_55_57
compExch compexch_layer_12_to_13_sites_15_19compexch_layer_12_to_13_sites_15_19
compExch compexch_layer_17_to_18_sites_11_19compexch_layer_17_to_18_sites_11_19
compExch compexch_layer_27_to_28_sites_67_68compexch_layer_27_to_28_sites_67_68
compExch compexch_layer_16_to_17_sites_26_42compexch_layer_16_to_17_sites_26_42
unsigned (32 downto 0) total_overflow_counter
compExch compexch_layer_15_to_16_sites_13_45compexch_layer_15_to_16_sites_13_45
compExch compexch_layer_26_to_27_sites_23_25compexch_layer_26_to_27_sites_23_25
compExch compexch_layer_01_to_02_sites_08_10compexch_layer_01_to_02_sites_08_10
Definition: cp_decoder.vhd:861
compExch compexch_layer_06_to_07_sites_16_24compexch_layer_06_to_07_sites_16_24
compExch compexch_layer_01_to_02_sites_64_66compexch_layer_01_to_02_sites_64_66
compExch compexch_layer_01_to_02_sites_17_19compexch_layer_01_to_02_sites_17_19
Definition: cp_decoder.vhd:884
compExch compexch_layer_20_to_21_sites_43_44compexch_layer_20_to_21_sites_43_44
compExch compexch_layer_05_to_06_sites_67_68compexch_layer_05_to_06_sites_67_68
compExch compexch_layer_23_to_24_sites_25_41compexch_layer_23_to_24_sites_25_41
compExch compexch_layer_01_to_02_sites_37_39compexch_layer_01_to_02_sites_37_39
Definition: cp_decoder.vhd:939
compExch compexch_layer_06_to_07_sites_02_10compexch_layer_06_to_07_sites_02_10
compExch compexch_layer_06_to_07_sites_53_61compexch_layer_06_to_07_sites_53_61
compExch compexch_layer_03_to_04_sites_02_06compexch_layer_03_to_04_sites_02_06
compExch compexch_layer_26_to_27_sites_30_32compexch_layer_26_to_27_sites_30_32
compExch compexch_layer_20_to_21_sites_49_50compexch_layer_20_to_21_sites_49_50
compExch compexch_layer_27_to_28_sites_45_46compexch_layer_27_to_28_sites_45_46
compExch compexch_layer_20_to_21_sites_29_30compexch_layer_20_to_21_sites_29_30
compExch compexch_layer_03_to_04_sites_56_60compexch_layer_03_to_04_sites_56_60
compExch compexch_layer_20_to_21_sites_25_26compexch_layer_20_to_21_sites_25_26
compExch compexch_layer_27_to_28_sites_35_36compexch_layer_27_to_28_sites_35_36
compExch compexch_layer_18_to_19_sites_21_25compexch_layer_18_to_19_sites_21_25
compExch compexch_layer_03_to_04_sites_25_29compexch_layer_03_to_04_sites_25_29
compExch compexch_layer_07_to_08_sites_04_08compexch_layer_07_to_08_sites_04_08
compExch compexch_layer_22_to_23_sites_34_66compexch_layer_22_to_23_sites_34_66
compExch compexch_layer_19_to_20_sites_35_37compexch_layer_19_to_20_sites_35_37
compExch compexch_layer_08_to_09_sites_59_61compexch_layer_08_to_09_sites_59_61
compExch compexch_layer_00_to_01_sites_04_05compexch_layer_00_to_01_sites_04_05
Definition: cp_decoder.vhd:719
compExch compexch_layer_18_to_19_sites_28_32compexch_layer_18_to_19_sites_28_32
compExch compexch_layer_06_to_07_sites_51_59compexch_layer_06_to_07_sites_51_59
compExch compexch_layer_10_to_11_sites_35_51compexch_layer_10_to_11_sites_35_51
compExch compexch_layer_27_to_28_sites_39_40compexch_layer_27_to_28_sites_39_40
compExch compexch_layer_25_to_26_sites_12_16compexch_layer_25_to_26_sites_12_16
compExch compexch_layer_01_to_02_sites_09_11compexch_layer_01_to_02_sites_09_11
Definition: cp_decoder.vhd:862
compExch compexch_layer_14_to_15_sites_09_10compexch_layer_14_to_15_sites_09_10
compExch compexch_layer_23_to_24_sites_31_47compexch_layer_23_to_24_sites_31_47
compExch compexch_layer_13_to_14_sites_50_52compexch_layer_13_to_14_sites_50_52
compExch compexch_layer_19_to_20_sites_46_48compexch_layer_19_to_20_sites_46_48
compExch compexch_layer_00_to_01_sites_12_13compexch_layer_00_to_01_sites_12_13
Definition: cp_decoder.vhd:735
compExch compexch_layer_16_to_17_sites_17_33compexch_layer_16_to_17_sites_17_33
compExch compexch_layer_18_to_19_sites_47_51compexch_layer_18_to_19_sites_47_51
std_logic_vector (max_cps - 1 downto 0) overflow_local
Definition: cp_decoder.vhd:137
compExch compexch_layer_14_to_15_sites_35_36compexch_layer_14_to_15_sites_35_36
compExch compexch_layer_14_to_15_sites_55_56compexch_layer_14_to_15_sites_55_56
compExch compexch_layer_07_to_08_sites_39_43compexch_layer_07_to_08_sites_39_43
compExch compexch_layer_01_to_02_sites_57_59compexch_layer_01_to_02_sites_57_59
Definition: cp_decoder.vhd:994
compExch compexch_layer_17_to_18_sites_08_16compexch_layer_17_to_18_sites_08_16
compExch compexch_layer_23_to_24_sites_52_68compexch_layer_23_to_24_sites_52_68
out Tobs_to_TOPOcopy_arr_TOB
Definition: jet_decoder.vhd:49
compExch compexch_layer_06_to_07_sites_52_60compexch_layer_06_to_07_sites_52_60
compExch compexch_layer_12_to_13_sites_52_56compexch_layer_12_to_13_sites_52_56
compExch compexch_layer_10_to_11_sites_43_59compexch_layer_10_to_11_sites_43_59
compExch compexch_layer_25_to_26_sites_37_41compexch_layer_25_to_26_sites_37_41
compExch compexch_layer_01_to_02_sites_01_03compexch_layer_01_to_02_sites_01_03
Definition: cp_decoder.vhd:840
compExch compexch_layer_08_to_09_sites_10_12compexch_layer_08_to_09_sites_10_12
compExch compexch_layer_09_to_10_sites_19_20compexch_layer_09_to_10_sites_19_20
in datai_first_halfarr_2Xword (max_jems - 1 downto 0)
Definition: jet_decoder.vhd:48
compExch compexch_layer_25_to_26_sites_30_34compexch_layer_25_to_26_sites_30_34
compExch compexch_layer_19_to_20_sites_51_53compexch_layer_19_to_20_sites_51_53
compExch compexch_layer_14_to_15_sites_29_30compexch_layer_14_to_15_sites_29_30
compExch compexch_layer_01_to_02_sites_24_26compexch_layer_01_to_02_sites_24_26
Definition: cp_decoder.vhd:905
compExch compexch_layer_00_to_01_sites_46_47compexch_layer_00_to_01_sites_46_47
Definition: cp_decoder.vhd:803
out data_vmestd_logic_vector (15 downto 0)
in clk40MHz_90ostd_logic
Definition: jet_decoder.vhd:44
compExch compexch_layer_06_to_07_sites_20_28compexch_layer_06_to_07_sites_20_28
compExch compexch_layer_02_to_03_sites_21_22compexch_layer_02_to_03_sites_21_22
Definition: cp_decoder.vhd:899
compExch compexch_layer_24_to_25_sites_31_39compexch_layer_24_to_25_sites_31_39
compExch compexch_layer_23_to_24_sites_49_65compexch_layer_23_to_24_sites_49_65
compExch compexch_layer_14_to_15_sites_33_34compexch_layer_14_to_15_sites_33_34
compExch compexch_layer_05_to_06_sites_11_12compexch_layer_05_to_06_sites_11_12
compExch compexch_layer_03_to_04_sites_33_37compexch_layer_03_to_04_sites_33_37
compExch compexch_layer_11_to_12_sites_46_54compexch_layer_11_to_12_sites_46_54
in counter_inhibitstd_logic
Definition: jet_decoder.vhd:61
compExch compexch_layer_00_to_01_sites_02_03compexch_layer_00_to_01_sites_02_03
Definition: cp_decoder.vhd:715
compExch compexch_layer_12_to_13_sites_46_50compexch_layer_12_to_13_sites_46_50
compExch compexch_layer_13_to_14_sites_67_69compexch_layer_13_to_14_sites_67_69
compExch compexch_layer_18_to_19_sites_20_24compexch_layer_18_to_19_sites_20_24
compExch compexch_layer_00_to_01_sites_06_07compexch_layer_00_to_01_sites_06_07
Definition: cp_decoder.vhd:723
in BJetTOB
Definition: compExch.vhd:25
compExch compexch_layer_01_to_02_sites_44_46compexch_layer_01_to_02_sites_44_46
Definition: cp_decoder.vhd:960
compExch compexch_layer_18_to_19_sites_07_11compexch_layer_18_to_19_sites_07_11
compExch compexch_layer_03_to_04_sites_41_45compexch_layer_03_to_04_sites_41_45
compExch compexch_layer_10_to_11_sites_39_55compexch_layer_10_to_11_sites_39_55
out HJetTOB
Definition: compExch.vhd:26
compExch compexch_layer_18_to_19_sites_14_18compexch_layer_18_to_19_sites_14_18
compExch compexch_layer_10_to_11_sites_40_56compexch_layer_10_to_11_sites_40_56
compExch compexch_layer_27_to_28_sites_59_60compexch_layer_27_to_28_sites_59_60
compExch compexch_layer_25_to_26_sites_60_64compexch_layer_25_to_26_sites_60_64
compExch compexch_layer_05_to_06_sites_49_50compexch_layer_05_to_06_sites_49_50
compExch compexch_layer_13_to_14_sites_34_36compexch_layer_13_to_14_sites_34_36
compExch compexch_layer_13_to_14_sites_26_28compexch_layer_13_to_14_sites_26_28
compExch compexch_layer_18_to_19_sites_23_27compexch_layer_18_to_19_sites_23_27
compExch compexch_layer_18_to_19_sites_06_10compexch_layer_18_to_19_sites_06_10
std_logic_vector (11 downto 0) BCID_rr
compExch compexch_layer_23_to_24_sites_16_32compexch_layer_23_to_24_sites_16_32
compExch compexch_layer_27_to_28_sites_13_14compexch_layer_27_to_28_sites_13_14
std_logic overflow_local_any_rr
Definition: cp_decoder.vhd:140
compExch compexch_layer_04_to_05_sites_42_44compexch_layer_04_to_05_sites_42_44
compExch compexch_layer_09_to_10_sites_41_42compexch_layer_09_to_10_sites_41_42
compExch compexch_layer_06_to_07_sites_19_27compexch_layer_06_to_07_sites_19_27
compExch compexch_layer_00_to_01_sites_28_29compexch_layer_00_to_01_sites_28_29
Definition: cp_decoder.vhd:767
compExch compexch_layer_24_to_25_sites_61_69compexch_layer_24_to_25_sites_61_69
compExch compexch_layer_00_to_01_sites_24_25compexch_layer_00_to_01_sites_24_25
Definition: cp_decoder.vhd:759
compExch compexch_layer_06_to_07_sites_06_14compexch_layer_06_to_07_sites_06_14
compExch compexch_layer_16_to_17_sites_29_45compexch_layer_16_to_17_sites_29_45
compExch compexch_layer_14_to_15_sites_41_42compexch_layer_14_to_15_sites_41_42
compExch compexch_layer_00_to_01_sites_60_61compexch_layer_00_to_01_sites_60_61
Definition: cp_decoder.vhd:831
compExch compexch_layer_10_to_11_sites_09_25compexch_layer_10_to_11_sites_09_25
compExch compexch_layer_11_to_12_sites_14_22compexch_layer_11_to_12_sites_14_22
compExch compexch_layer_27_to_28_sites_09_10compexch_layer_27_to_28_sites_09_10
compExch compexch_layer_24_to_25_sites_26_34compexch_layer_24_to_25_sites_26_34
compExch compexch_layer_21_to_22_sites_05_69compexch_layer_21_to_22_sites_05_69
compExch compexch_layer_20_to_21_sites_47_48compexch_layer_20_to_21_sites_47_48
compExch compexch_layer_09_to_10_sites_17_18compexch_layer_09_to_10_sites_17_18
compExch compexch_layer_12_to_13_sites_44_48compexch_layer_12_to_13_sites_44_48
compExch compexch_layer_23_to_24_sites_18_34compexch_layer_23_to_24_sites_18_34
compExch compexch_layer_10_to_11_sites_15_31compexch_layer_10_to_11_sites_15_31
compExch compexch_layer_08_to_09_sites_06_08compexch_layer_08_to_09_sites_06_08
compExch compexch_layer_19_to_20_sites_23_25compexch_layer_19_to_20_sites_23_25
compExch compexch_layer_18_to_19_sites_30_34compexch_layer_18_to_19_sites_30_34
arr_ctr_33bit (max_cps - 1 downto 0) local_backplane_overflow_counter
Definition: cp_decoder.vhd:177
compExch compexch_layer_08_to_09_sites_26_28compexch_layer_08_to_09_sites_26_28
compExch compexch_layer_04_to_05_sites_51_53compexch_layer_04_to_05_sites_51_53
compExch compexch_layer_03_to_04_sites_49_53compexch_layer_03_to_04_sites_49_53
compExch compexch_layer_05_to_06_sites_03_04compexch_layer_05_to_06_sites_03_04
compExch compexch_layer_26_to_27_sites_63_65compexch_layer_26_to_27_sites_63_65
compExch compexch_layer_20_to_21_sites_27_28compexch_layer_20_to_21_sites_27_28
compExch compexch_layer_03_to_04_sites_01_05compexch_layer_03_to_04_sites_01_05
compExch compexch_layer_26_to_27_sites_02_04compexch_layer_26_to_27_sites_02_04
compExch compexch_layer_01_to_02_sites_21_23compexch_layer_01_to_02_sites_21_23
Definition: cp_decoder.vhd:895
compExch compexch_layer_15_to_16_sites_18_50compexch_layer_15_to_16_sites_18_50
compExch compexch_layer_01_to_02_sites_56_58compexch_layer_01_to_02_sites_56_58
Definition: cp_decoder.vhd:993
unsigned (32 downto 0) global_backplane_overflow_counter
compExch compexch_layer_18_to_19_sites_15_19compexch_layer_18_to_19_sites_15_19
compExch compexch_layer_03_to_04_sites_10_14compexch_layer_03_to_04_sites_10_14
compExch compexch_layer_24_to_25_sites_14_22compexch_layer_24_to_25_sites_14_22
compExch compexch_layer_10_to_11_sites_45_61compexch_layer_10_to_11_sites_45_61
compExch compexch_layer_19_to_20_sites_50_52compexch_layer_19_to_20_sites_50_52
compExch compexch_layer_26_to_27_sites_39_41compexch_layer_26_to_27_sites_39_41
compExch compexch_layer_11_to_12_sites_08_16compexch_layer_11_to_12_sites_08_16
compExch compexch_layer_18_to_19_sites_13_17compexch_layer_18_to_19_sites_13_17
compExch compexch_layer_09_to_10_sites_09_10compexch_layer_09_to_10_sites_09_10
compExch compexch_layer_10_to_11_sites_02_18compexch_layer_10_to_11_sites_02_18
compExch compexch_layer_24_to_25_sites_15_23compexch_layer_24_to_25_sites_15_23
compExch compexch_layer_10_to_11_sites_38_54compexch_layer_10_to_11_sites_38_54
compExch compexch_layer_09_to_10_sites_59_60compexch_layer_09_to_10_sites_59_60
compExch compexch_layer_24_to_25_sites_56_64compexch_layer_24_to_25_sites_56_64
compExch compexch_layer_25_to_26_sites_38_42compexch_layer_25_to_26_sites_38_42
compExch compexch_layer_04_to_05_sites_67_69compexch_layer_04_to_05_sites_67_69
compExch compexch_layer_04_to_05_sites_26_28compexch_layer_04_to_05_sites_26_28
compExch compexch_layer_15_to_16_sites_03_35compexch_layer_15_to_16_sites_03_35
compExch compexch_layer_24_to_25_sites_60_68compexch_layer_24_to_25_sites_60_68
compExch compexch_layer_18_to_19_sites_55_59compexch_layer_18_to_19_sites_55_59
compExch compexch_layer_17_to_18_sites_15_23compexch_layer_17_to_18_sites_15_23
compExch compexch_layer_12_to_13_sites_23_27compexch_layer_12_to_13_sites_23_27
compExch compexch_layer_06_to_07_sites_04_12compexch_layer_06_to_07_sites_04_12
compExch compexch_layer_24_to_25_sites_30_38compexch_layer_24_to_25_sites_30_38
compExch compexch_layer_14_to_15_sites_61_62compexch_layer_14_to_15_sites_61_62
compExch compexch_layer_19_to_20_sites_18_20compexch_layer_19_to_20_sites_18_20
compExch compexch_layer_14_to_15_sites_27_28compexch_layer_14_to_15_sites_27_28
compExch compexch_layer_09_to_10_sites_53_54compexch_layer_09_to_10_sites_53_54
compExch compexch_layer_06_to_07_sites_37_45compexch_layer_06_to_07_sites_37_45
compExch compexch_layer_06_to_07_sites_05_13compexch_layer_06_to_07_sites_05_13
compExch compexch_layer_09_to_10_sites_21_22compexch_layer_09_to_10_sites_21_22
compExch compexch_layer_07_to_08_sites_05_09compexch_layer_07_to_08_sites_05_09
compExch compexch_layer_18_to_19_sites_05_09compexch_layer_18_to_19_sites_05_09
compExch compexch_layer_08_to_09_sites_03_05compexch_layer_08_to_09_sites_03_05
compExch compexch_layer_26_to_27_sites_15_17compexch_layer_26_to_27_sites_15_17
compExch compexch_layer_25_to_26_sites_54_58compexch_layer_25_to_26_sites_54_58
compExch compexch_layer_14_to_15_sites_37_38compexch_layer_14_to_15_sites_37_38
compExch compexch_layer_03_to_04_sites_03_07compexch_layer_03_to_04_sites_03_07
compExch compexch_layer_17_to_18_sites_13_21compexch_layer_17_to_18_sites_13_21
compExch compexch_layer_14_to_15_sites_05_06compexch_layer_14_to_15_sites_05_06
compExch compexch_layer_02_to_03_sites_25_26compexch_layer_02_to_03_sites_25_26
Definition: cp_decoder.vhd:910
compExch compexch_layer_19_to_20_sites_10_12compexch_layer_19_to_20_sites_10_12
compExch compexch_layer_22_to_23_sites_37_69compexch_layer_22_to_23_sites_37_69
compExch compexch_layer_09_to_10_sites_37_38compexch_layer_09_to_10_sites_37_38
compExch compexch_layer_13_to_14_sites_35_37compexch_layer_13_to_14_sites_35_37
num_tobs_half ntobsB
Definition: cp_decoder.vhd:147
compExch compexch_layer_18_to_19_sites_37_41compexch_layer_18_to_19_sites_37_41
compExch compexch_layer_08_to_09_sites_38_40compexch_layer_08_to_09_sites_38_40
compExch compexch_layer_19_to_20_sites_58_60compexch_layer_19_to_20_sites_58_60
compExch compexch_layer_17_to_18_sites_44_52compexch_layer_17_to_18_sites_44_52
compExch compexch_layer_07_to_08_sites_36_40compexch_layer_07_to_08_sites_36_40
compExch compexch_layer_13_to_14_sites_46_48compexch_layer_13_to_14_sites_46_48
compExch compexch_layer_06_to_07_sites_34_42compexch_layer_06_to_07_sites_34_42
compExch compexch_layer_05_to_06_sites_51_52compexch_layer_05_to_06_sites_51_52
compExch compexch_layer_13_to_14_sites_15_17compexch_layer_13_to_14_sites_15_17
compExch compexch_layer_15_to_16_sites_27_59compexch_layer_15_to_16_sites_27_59
arr_16 ((4 * max_cps) + 4 + (max_cps * num_presence_bits_pcp) * 2 - 1 downto 0) data_vme_out_local)
Definition: cp_decoder.vhd:62
compExch compexch_layer_27_to_28_sites_57_58compexch_layer_27_to_28_sites_57_58
compExch compexch_layer_11_to_12_sites_11_19compexch_layer_11_to_12_sites_11_19
compExch compexch_layer_07_to_08_sites_22_26compexch_layer_07_to_08_sites_22_26
compExch compexch_layer_24_to_25_sites_10_18compexch_layer_24_to_25_sites_10_18
compExch compexch_layer_11_to_12_sites_12_20compexch_layer_11_to_12_sites_12_20
compExch compexch_layer_18_to_19_sites_46_50compexch_layer_18_to_19_sites_46_50
compExch compexch_layer_02_to_03_sites_05_06compexch_layer_02_to_03_sites_05_06
Definition: cp_decoder.vhd:855
in rd_nwrstd_logic
Definition: jet_decoder.vhd:65
compExch compexch_layer_21_to_22_sites_04_68compexch_layer_21_to_22_sites_04_68
compExch compexch_layer_25_to_26_sites_04_08compexch_layer_25_to_26_sites_04_08
compExch compexch_layer_20_to_21_sites_51_52compexch_layer_20_to_21_sites_51_52
compExch compexch_layer_01_to_02_sites_65_67compexch_layer_01_to_02_sites_65_67
compExch compexch_layer_05_to_06_sites_17_18compexch_layer_05_to_06_sites_17_18
compExch compexch_layer_24_to_25_sites_41_49compexch_layer_24_to_25_sites_41_49
compExch compexch_layer_01_to_02_sites_60_62compexch_layer_01_to_02_sites_60_62
in data_vme_from_belowarr_16
--! inputs from local registers and from
compExch compexch_layer_06_to_07_sites_17_25compexch_layer_06_to_07_sites_17_25
compExch compexch_layer_27_to_28_sites_29_30compexch_layer_27_to_28_sites_29_30
compExch compexch_layer_25_to_26_sites_23_27compexch_layer_25_to_26_sites_23_27
compExch compexch_layer_04_to_05_sites_19_21compexch_layer_04_to_05_sites_19_21
compExch compexch_layer_12_to_13_sites_39_43compexch_layer_12_to_13_sites_39_43
compExch compexch_layer_11_to_12_sites_40_48compexch_layer_11_to_12_sites_40_48
compExch compexch_layer_27_to_28_sites_11_12compexch_layer_27_to_28_sites_11_12
compExch compexch_layer_14_to_15_sites_65_66compexch_layer_14_to_15_sites_65_66
compExch compexch_layer_05_to_06_sites_61_62compexch_layer_05_to_06_sites_61_62
compExch compexch_layer_14_to_15_sites_39_40compexch_layer_14_to_15_sites_39_40
compExch compexch_layer_06_to_07_sites_50_58compexch_layer_06_to_07_sites_50_58
compExch compexch_layer_19_to_20_sites_27_29compexch_layer_19_to_20_sites_27_29
compExch compexch_layer_25_to_26_sites_61_65compexch_layer_25_to_26_sites_61_65
compExch compexch_layer_06_to_07_sites_33_41compexch_layer_06_to_07_sites_33_41
compExch compexch_layer_27_to_28_sites_25_26compexch_layer_27_to_28_sites_25_26
compExch compexch_layer_25_to_26_sites_46_50compexch_layer_25_to_26_sites_46_50
compExch compexch_layer_14_to_15_sites_45_46compexch_layer_14_to_15_sites_45_46
roiposb roipos_broipos_b
Definition: cp_decoder.vhd:344
compExch compexch_layer_15_to_16_sites_30_62compexch_layer_15_to_16_sites_30_62
integer :=i * num_presence_bits_pjem + i_pres_bit i_counter
compExch compexch_layer_27_to_28_sites_23_24compexch_layer_27_to_28_sites_23_24
compExch compexch_layer_27_to_28_sites_65_66compexch_layer_27_to_28_sites_65_66
compExch compexch_layer_08_to_09_sites_67_69compexch_layer_08_to_09_sites_67_69
compExch compexch_layer_13_to_14_sites_55_57compexch_layer_13_to_14_sites_55_57
compExch compexch_layer_24_to_25_sites_57_65compexch_layer_24_to_25_sites_57_65
compExch compexch_layer_18_to_19_sites_39_43compexch_layer_18_to_19_sites_39_43
compExch compexch_layer_25_to_26_sites_45_49compexch_layer_25_to_26_sites_45_49
compExch compexch_layer_12_to_13_sites_45_49compexch_layer_12_to_13_sites_45_49
compExch compexch_layer_05_to_06_sites_01_02compexch_layer_05_to_06_sites_01_02
compExch compexch_layer_25_to_26_sites_13_17compexch_layer_25_to_26_sites_13_17
compExch compexch_layer_23_to_24_sites_48_64compexch_layer_23_to_24_sites_48_64
compExch compexch_layer_17_to_18_sites_40_48compexch_layer_17_to_18_sites_40_48
compExch compexch_layer_06_to_07_sites_01_09compexch_layer_06_to_07_sites_01_09
compExch compexch_layer_05_to_06_sites_45_46compexch_layer_05_to_06_sites_45_46
std_logic_vector (11 downto 0) BCID_r
compExch compexch_layer_08_to_09_sites_22_24compexch_layer_08_to_09_sites_22_24
compExch compexch_layer_15_to_16_sites_19_51compexch_layer_15_to_16_sites_19_51
compExch compexch_layer_04_to_05_sites_66_68compexch_layer_04_to_05_sites_66_68
compExch compexch_layer_03_to_04_sites_48_52compexch_layer_03_to_04_sites_48_52
arr_ctr_32bit (max_cps * 16 - 1 downto 0) presence_bit_counter)
Definition: cp_decoder.vhd:175
compExch compexch_layer_20_to_21_sites_39_40compexch_layer_20_to_21_sites_39_40
compExch compexch_layer_15_to_16_sites_21_53compexch_layer_15_to_16_sites_21_53
max_tobs_totinteger :=64
Definition: jet_decoder.vhd:31
in AJetTOB
Definition: compExch.vhd:25
compExch compexch_layer_09_to_10_sites_39_40compexch_layer_09_to_10_sites_39_40
compExch compexch_layer_17_to_18_sites_41_49compexch_layer_17_to_18_sites_41_49
compExch compexch_layer_27_to_28_sites_41_42compexch_layer_27_to_28_sites_41_42
compExch compexch_layer_10_to_11_sites_34_50compexch_layer_10_to_11_sites_34_50
compExch compexch_layer_03_to_04_sites_43_47compexch_layer_03_to_04_sites_43_47
compExch compexch_layer_18_to_19_sites_12_16compexch_layer_18_to_19_sites_12_16
compExch compexch_layer_14_to_15_sites_47_48compexch_layer_14_to_15_sites_47_48
compExch compexch_layer_07_to_08_sites_38_42compexch_layer_07_to_08_sites_38_42
compExch compexch_layer_17_to_18_sites_43_51compexch_layer_17_to_18_sites_43_51
compExch compexch_layer_03_to_04_sites_58_62compexch_layer_03_to_04_sites_58_62
compExch compexch_layer_01_to_02_sites_36_38compexch_layer_01_to_02_sites_36_38
Definition: cp_decoder.vhd:938
compExch compexch_layer_08_to_09_sites_02_04compexch_layer_08_to_09_sites_02_04
compExch compexch_layer_00_to_01_sites_26_27compexch_layer_00_to_01_sites_26_27
Definition: cp_decoder.vhd:763
compExch compexch_layer_17_to_18_sites_27_35compexch_layer_17_to_18_sites_27_35
compExch compexch_layer_02_to_03_sites_57_58compexch_layer_02_to_03_sites_57_58
Definition: cp_decoder.vhd:998
compExch compexch_layer_05_to_06_sites_35_36compexch_layer_05_to_06_sites_35_36
compExch compexch_layer_26_to_27_sites_22_24compexch_layer_26_to_27_sites_22_24
compExch compexch_layer_00_to_01_sites_44_45compexch_layer_00_to_01_sites_44_45
Definition: cp_decoder.vhd:799
compExch compexch_layer_19_to_20_sites_26_28compexch_layer_19_to_20_sites_26_28
compExch compexch_layer_00_to_01_sites_34_35compexch_layer_00_to_01_sites_34_35
Definition: cp_decoder.vhd:779
compExch compexch_layer_07_to_08_sites_53_57compexch_layer_07_to_08_sites_53_57
compExch compexch_layer_14_to_15_sites_19_20compexch_layer_14_to_15_sites_19_20
compExch compexch_layer_15_to_16_sites_24_56compexch_layer_15_to_16_sites_24_56
compExch compexch_layer_10_to_11_sites_37_53compexch_layer_10_to_11_sites_37_53
out BCID_delayedstd_logic_vector (11 downto 0)
Definition: jet_decoder.vhd:57
compExch compexch_layer_13_to_14_sites_11_13compexch_layer_13_to_14_sites_11_13
compExch compexch_layer_02_to_03_sites_65_66compexch_layer_02_to_03_sites_65_66
compExch compexch_layer_12_to_13_sites_14_18compexch_layer_12_to_13_sites_14_18
compExch compexch_layer_20_to_21_sites_65_66compexch_layer_20_to_21_sites_65_66
compExch compexch_layer_26_to_27_sites_54_56compexch_layer_26_to_27_sites_54_56
compExch compexch_layer_09_to_10_sites_01_02compexch_layer_09_to_10_sites_01_02
compExch compexch_layer_06_to_07_sites_39_47compexch_layer_06_to_07_sites_39_47
compExch compexch_layer_10_to_11_sites_46_62compexch_layer_10_to_11_sites_46_62
compExch compexch_layer_24_to_25_sites_42_50compexch_layer_24_to_25_sites_42_50
compExch compexch_layer_07_to_08_sites_21_25compexch_layer_07_to_08_sites_21_25
compExch compexch_layer_19_to_20_sites_47_49compexch_layer_19_to_20_sites_47_49
compExch compexch_layer_26_to_27_sites_59_61compexch_layer_26_to_27_sites_59_61
compExch compexch_layer_07_to_08_sites_20_24compexch_layer_07_to_08_sites_20_24
compExch compexch_layer_09_to_10_sites_03_04compexch_layer_09_to_10_sites_03_04
compExch compexch_layer_12_to_13_sites_47_51compexch_layer_12_to_13_sites_47_51
std_logic counter_reset_r_local
compExch compexch_layer_10_to_11_sites_14_30compexch_layer_10_to_11_sites_14_30
arr_ctr_33bit (max_cps - 1 downto 0) ntobs_counter
Definition: cp_decoder.vhd:174
compExch compexch_layer_14_to_15_sites_13_14compexch_layer_14_to_15_sites_13_14
compExch compexch_layer_25_to_26_sites_31_35compexch_layer_25_to_26_sites_31_35
compExch compexch_layer_23_to_24_sites_24_40compexch_layer_23_to_24_sites_24_40
compExch compexch_layer_12_to_13_sites_06_10compexch_layer_12_to_13_sites_06_10
roiposa roipos_aroipos_a
Definition: cp_decoder.vhd:338
compExch compexch_layer_05_to_06_sites_25_26compexch_layer_05_to_06_sites_25_26
compExch compexch_layer_13_to_14_sites_14_16compexch_layer_13_to_14_sites_14_16
compExch compexch_layer_16_to_17_sites_25_41compexch_layer_16_to_17_sites_25_41
compExch compexch_layer_19_to_20_sites_59_61compexch_layer_19_to_20_sites_59_61
compExch compexch_layer_03_to_04_sites_51_55compexch_layer_03_to_04_sites_51_55
compExch compexch_layer_11_to_12_sites_10_18compexch_layer_11_to_12_sites_10_18
compExch compexch_layer_17_to_18_sites_09_17compexch_layer_17_to_18_sites_09_17
compExch compexch_layer_09_to_10_sites_35_36compexch_layer_09_to_10_sites_35_36
compExch compexch_layer_04_to_05_sites_18_20compexch_layer_04_to_05_sites_18_20
compExch compexch_layer_17_to_18_sites_29_37compexch_layer_17_to_18_sites_29_37
compExch compexch_layer_00_to_01_sites_36_37compexch_layer_00_to_01_sites_36_37
Definition: cp_decoder.vhd:783
compExch compexch_layer_17_to_18_sites_10_18compexch_layer_17_to_18_sites_10_18
compExch compexch_layer_05_to_06_sites_59_60compexch_layer_05_to_06_sites_59_60
compExch compexch_layer_20_to_21_sites_17_18compexch_layer_20_to_21_sites_17_18
std_logic or_all_ov_local
compExch compexch_layer_17_to_18_sites_30_38compexch_layer_17_to_18_sites_30_38
compExch compexch_layer_27_to_28_sites_27_28compexch_layer_27_to_28_sites_27_28
compExch compexch_layer_12_to_13_sites_37_41compexch_layer_12_to_13_sites_37_41
in dsstd_logic
Definition: jet_decoder.vhd:66
compExch compexch_layer_08_to_09_sites_27_29compexch_layer_08_to_09_sites_27_29
compExch compexch_layer_17_to_18_sites_12_20compexch_layer_17_to_18_sites_12_20
compExch compexch_layer_27_to_28_sites_53_54compexch_layer_27_to_28_sites_53_54
compExch compexch_layer_16_to_17_sites_18_34compexch_layer_16_to_17_sites_18_34
compExch compexch_layer_06_to_07_sites_49_57compexch_layer_06_to_07_sites_49_57
compExch compexch_layer_20_to_21_sites_59_60compexch_layer_20_to_21_sites_59_60
compExch compexch_layer_01_to_02_sites_00_02compexch_layer_01_to_02_sites_00_02
Definition: cp_decoder.vhd:839
compExch compexch_layer_14_to_15_sites_17_18compexch_layer_14_to_15_sites_17_18
compExch compexch_layer_19_to_20_sites_15_17compexch_layer_19_to_20_sites_15_17
compExch compexch_layer_25_to_26_sites_21_25compexch_layer_25_to_26_sites_21_25
compExch compexch_layer_19_to_20_sites_19_21compexch_layer_19_to_20_sites_19_21
compExch compexch_layer_24_to_25_sites_40_48compexch_layer_24_to_25_sites_40_48
compExch compexch_layer_24_to_25_sites_11_19compexch_layer_24_to_25_sites_11_19
compExch compexch_layer_05_to_06_sites_05_06compexch_layer_05_to_06_sites_05_06
compExch compexch_layer_13_to_14_sites_22_24compexch_layer_13_to_14_sites_22_24
compExch compexch_layer_25_to_26_sites_39_43compexch_layer_25_to_26_sites_39_43
compExch compexch_layer_24_to_25_sites_24_32compexch_layer_24_to_25_sites_24_32
compExch compexch_layer_09_to_10_sites_07_08compexch_layer_09_to_10_sites_07_08
compExch compexch_layer_13_to_14_sites_47_49compexch_layer_13_to_14_sites_47_49
compExch compexch_layer_25_to_26_sites_63_67compexch_layer_25_to_26_sites_63_67
compExch compexch_layer_26_to_27_sites_26_28compexch_layer_26_to_27_sites_26_28
compExch compexch_layer_17_to_18_sites_26_34compexch_layer_17_to_18_sites_26_34
compExch compexch_layer_01_to_02_sites_04_06compexch_layer_01_to_02_sites_04_06
Definition: cp_decoder.vhd:850
compExch compexch_layer_00_to_01_sites_62_63compexch_layer_00_to_01_sites_62_63
Definition: cp_decoder.vhd:835
compExch compexch_layer_16_to_17_sites_16_32compexch_layer_16_to_17_sites_16_32
compExch compexch_layer_01_to_02_sites_40_42compexch_layer_01_to_02_sites_40_42
Definition: cp_decoder.vhd:949
compExch compexch_layer_11_to_12_sites_42_50compexch_layer_11_to_12_sites_42_50
compExch compexch_layer_00_to_01_sites_54_55compexch_layer_00_to_01_sites_54_55
Definition: cp_decoder.vhd:819
compExch compexch_layer_09_to_10_sites_29_30compexch_layer_09_to_10_sites_29_30
in clk40MHz_m90ostd_logic
Definition: jet_decoder.vhd:43
compExch compexch_layer_18_to_19_sites_53_57compexch_layer_18_to_19_sites_53_57
compExch compexch_layer_15_to_16_sites_31_63compexch_layer_15_to_16_sites_31_63
compExch compexch_layer_12_to_13_sites_07_11compexch_layer_12_to_13_sites_07_11
compExch compexch_layer_10_to_11_sites_12_28compexch_layer_10_to_11_sites_12_28
compExch compexch_layer_09_to_10_sites_57_58compexch_layer_09_to_10_sites_57_58
compExch compexch_layer_08_to_09_sites_58_60compexch_layer_08_to_09_sites_58_60
compExch compexch_layer_24_to_25_sites_08_16compexch_layer_24_to_25_sites_08_16
compExch compexch_layer_05_to_06_sites_13_14compexch_layer_05_to_06_sites_13_14
compExch compexch_layer_27_to_28_sites_19_20compexch_layer_27_to_28_sites_19_20
compExch compexch_layer_20_to_21_sites_57_58compexch_layer_20_to_21_sites_57_58
compExch compexch_layer_26_to_27_sites_58_60compexch_layer_26_to_27_sites_58_60
compExch compexch_layer_05_to_06_sites_53_54compexch_layer_05_to_06_sites_53_54
out or_allstd_logic
Definition: or_all.vhd:35
compExch compexch_layer_04_to_05_sites_59_61compexch_layer_04_to_05_sites_59_61
compExch compexch_layer_17_to_18_sites_24_32compexch_layer_17_to_18_sites_24_32
compExch compexch_layer_27_to_28_sites_17_18compexch_layer_27_to_28_sites_17_18
compExch compexch_layer_09_to_10_sites_61_62compexch_layer_09_to_10_sites_61_62
compExch compexch_layer_25_to_26_sites_20_24compexch_layer_25_to_26_sites_20_24
compExch compexch_layer_03_to_04_sites_24_28compexch_layer_03_to_04_sites_24_28
compExch compexch_layer_00_to_01_sites_40_41compexch_layer_00_to_01_sites_40_41
Definition: cp_decoder.vhd:791
compExch compexch_layer_26_to_27_sites_42_44compexch_layer_26_to_27_sites_42_44
compExch compexch_layer_09_to_10_sites_65_66compexch_layer_09_to_10_sites_65_66
compExch compexch_layer_14_to_15_sites_53_54compexch_layer_14_to_15_sites_53_54
compExch compexch_layer_12_to_13_sites_13_17compexch_layer_12_to_13_sites_13_17
compExch compexch_layer_02_to_03_sites_45_46compexch_layer_02_to_03_sites_45_46
Definition: cp_decoder.vhd:965
compExch compexch_layer_14_to_15_sites_15_16compexch_layer_14_to_15_sites_15_16
compExch compexch_layer_06_to_07_sites_21_29compexch_layer_06_to_07_sites_21_29
compExch compexch_layer_00_to_01_sites_64_65compexch_layer_00_to_01_sites_64_65
Definition: cp_decoder.vhd:960
compExch compexch_layer_19_to_20_sites_11_13compexch_layer_19_to_20_sites_11_13
numbitsinteger :=2
Definition: or_all.vhd:31
compExch compexch_layer_19_to_20_sites_02_04compexch_layer_19_to_20_sites_02_04
compExch compexch_layer_04_to_05_sites_35_37compexch_layer_04_to_05_sites_35_37
compExch compexch_layer_22_to_23_sites_32_64compexch_layer_22_to_23_sites_32_64
compExch compexch_layer_26_to_27_sites_11_13compexch_layer_26_to_27_sites_11_13
compExch compexch_layer_19_to_20_sites_03_05compexch_layer_19_to_20_sites_03_05
compExch compexch_layer_20_to_21_sites_11_12compexch_layer_20_to_21_sites_11_12
compExch compexch_layer_06_to_07_sites_23_31compexch_layer_06_to_07_sites_23_31
compExch compexch_layer_24_to_25_sites_13_21compexch_layer_24_to_25_sites_13_21
compExch compexch_layer_10_to_11_sites_47_63compexch_layer_10_to_11_sites_47_63
compExch compexch_layer_17_to_18_sites_14_22compexch_layer_17_to_18_sites_14_22
compExch compexch_layer_03_to_04_sites_32_36compexch_layer_03_to_04_sites_32_36
compExch compexch_layer_20_to_21_sites_45_46compexch_layer_20_to_21_sites_45_46
compExch compexch_layer_26_to_27_sites_18_20compexch_layer_26_to_27_sites_18_20
compExch compexch_layer_03_to_04_sites_65_69compexch_layer_03_to_04_sites_65_69
compExch compexch_layer_13_to_14_sites_03_05compexch_layer_13_to_14_sites_03_05
compExch compexch_layer_11_to_12_sites_09_17compexch_layer_11_to_12_sites_09_17
compExch compexch_layer_14_to_15_sites_21_22compexch_layer_14_to_15_sites_21_22
compExch compexch_layer_18_to_19_sites_31_35compexch_layer_18_to_19_sites_31_35
compExch compexch_layer_23_to_24_sites_30_46compexch_layer_23_to_24_sites_30_46
compExch compexch_layer_19_to_20_sites_30_32compexch_layer_19_to_20_sites_30_32
compExch compexch_layer_03_to_04_sites_57_61compexch_layer_03_to_04_sites_57_61
type_TOB_sort_layers TobLayerOut
compExch compexch_layer_25_to_26_sites_22_26compexch_layer_25_to_26_sites_22_26
compExch compexch_layer_10_to_11_sites_05_21compexch_layer_10_to_11_sites_05_21
compExch compexch_layer_03_to_04_sites_17_21compexch_layer_03_to_04_sites_17_21
compExch compexch_layer_05_to_06_sites_37_38compexch_layer_05_to_06_sites_37_38
compExch compexch_layer_23_to_24_sites_29_45compexch_layer_23_to_24_sites_29_45
compExch compexch_layer_13_to_14_sites_58_60compexch_layer_13_to_14_sites_58_60
compExch compexch_layer_00_to_01_sites_58_59compexch_layer_00_to_01_sites_58_59
Definition: cp_decoder.vhd:827
compExch compexch_layer_14_to_15_sites_57_58compexch_layer_14_to_15_sites_57_58
compExch compexch_layer_27_to_28_sites_43_44compexch_layer_27_to_28_sites_43_44
compExch compexch_layer_16_to_17_sites_21_37compexch_layer_16_to_17_sites_21_37
compExch compexch_layer_24_to_25_sites_44_52compexch_layer_24_to_25_sites_44_52
out data_vme_upstd_logic_vector (15 downto 0)
--! connect this to
compExch compexch_layer_18_to_19_sites_38_42compexch_layer_18_to_19_sites_38_42
compExch compexch_layer_01_to_02_sites_25_27compexch_layer_01_to_02_sites_25_27
Definition: cp_decoder.vhd:906
compExch compexch_layer_24_to_25_sites_28_36compexch_layer_24_to_25_sites_28_36
compExch compexch_layer_08_to_09_sites_35_37compexch_layer_08_to_09_sites_35_37
compExch compexch_layer_15_to_16_sites_26_58compexch_layer_15_to_16_sites_26_58
compExch compexch_layer_11_to_12_sites_13_21compexch_layer_11_to_12_sites_13_21
compExch compexch_layer_12_to_13_sites_12_16compexch_layer_12_to_13_sites_12_16
compExch compexch_layer_08_to_09_sites_55_57compexch_layer_08_to_09_sites_55_57
compExch compexch_layer_12_to_13_sites_05_09compexch_layer_12_to_13_sites_05_09
compExch compexch_layer_17_to_18_sites_28_36compexch_layer_17_to_18_sites_28_36
std_logic_vector ((4 * max_cps) + 4 + (max_cps * num_presence_bits_pcp) * 2 - 1 downto 0) bus_drive_local)
Definition: cp_decoder.vhd:63
compExch compexch_layer_20_to_21_sites_37_38compexch_layer_20_to_21_sites_37_38
compExch compexch_layer_19_to_20_sites_39_41compexch_layer_19_to_20_sites_39_41
compExch compexch_layer_23_to_24_sites_17_33compexch_layer_23_to_24_sites_17_33
compExch compexch_layer_18_to_19_sites_36_40compexch_layer_18_to_19_sites_36_40
compExch compexch_layer_14_to_15_sites_03_04compexch_layer_14_to_15_sites_03_04
compExch compexch_layer_13_to_14_sites_51_53compexch_layer_13_to_14_sites_51_53
compExch compexch_layer_22_to_23_sites_36_68compexch_layer_22_to_23_sites_36_68
compExch compexch_layer_25_to_26_sites_28_32compexch_layer_25_to_26_sites_28_32
compExch compexch_layer_19_to_20_sites_14_16compexch_layer_19_to_20_sites_14_16
compExch compexch_layer_08_to_09_sites_42_44compexch_layer_08_to_09_sites_42_44
pos_type roi_pos
compExch compexch_layer_14_to_15_sites_43_44compexch_layer_14_to_15_sites_43_44
compExch compexch_layer_25_to_26_sites_62_66compexch_layer_25_to_26_sites_62_66
compExch compexch_layer_15_to_16_sites_16_48compexch_layer_15_to_16_sites_16_48
in addr_vmestd_logic_vector (15 downto 0)
compExch compexch_layer_02_to_03_sites_53_54compexch_layer_02_to_03_sites_53_54
Definition: cp_decoder.vhd:987
compExch compexch_layer_01_to_02_sites_49_51compexch_layer_01_to_02_sites_49_51
Definition: cp_decoder.vhd:972
compExch compexch_layer_12_to_13_sites_04_08compexch_layer_12_to_13_sites_04_08
compExch compexch_layer_26_to_27_sites_06_08compexch_layer_26_to_27_sites_06_08
compExch compexch_layer_20_to_21_sites_01_02compexch_layer_20_to_21_sites_01_02
compExch compexch_layer_12_to_13_sites_54_58compexch_layer_12_to_13_sites_54_58
std_logic_vector (11 downto 0) BCID_rrr
Definition: cp_decoder.vhd:187
compExch compexch_layer_26_to_27_sites_31_33compexch_layer_26_to_27_sites_31_33
std_logic overflow_local_any_r
Definition: cp_decoder.vhd:140
compExch compexch_layer_07_to_08_sites_23_27compexch_layer_07_to_08_sites_23_27
std_logic_vector (32 downto 0) all_one_thirtythree
compExch compexch_layer_26_to_27_sites_38_40compexch_layer_26_to_27_sites_38_40
compExch compexch_layer_26_to_27_sites_66_68compexch_layer_26_to_27_sites_66_68
pos_type_ext roi_posA_full
Definition: cp_decoder.vhd:126
compExch compexch_layer_15_to_16_sites_25_57compexch_layer_15_to_16_sites_25_57
out data_vme_outstd_logic_vector (15 downto 0)
Definition: jet_decoder.vhd:68
compExch compexch_layer_18_to_19_sites_52_56compexch_layer_18_to_19_sites_52_56
compExch compexch_layer_20_to_21_sites_55_56compexch_layer_20_to_21_sites_55_56
compExch compexch_layer_10_to_11_sites_10_26compexch_layer_10_to_11_sites_10_26
compExch compexch_layer_20_to_21_sites_03_04compexch_layer_20_to_21_sites_03_04
compExch compexch_layer_15_to_16_sites_15_47compexch_layer_15_to_16_sites_15_47
in dataiarr_4Xword (max_jems - 1 downto 0)
Definition: jet_decoder.vhd:47
compExch compexch_layer_01_to_02_sites_20_22compexch_layer_01_to_02_sites_20_22
Definition: cp_decoder.vhd:894
type_TOB_sort_layers TobLayerIn
compExch compexch_layer_13_to_14_sites_18_20compexch_layer_13_to_14_sites_18_20
compExch compexch_layer_26_to_27_sites_03_05compexch_layer_26_to_27_sites_03_05
compExch compexch_layer_06_to_07_sites_55_63compexch_layer_06_to_07_sites_55_63
compExch compexch_layer_12_to_13_sites_20_24compexch_layer_12_to_13_sites_20_24
in ncsstd_logic
Definition: jet_decoder.vhd:64
compExch compexch_layer_13_to_14_sites_10_12compexch_layer_13_to_14_sites_10_12
compExch compexch_layer_19_to_20_sites_42_44compexch_layer_19_to_20_sites_42_44
compExch compexch_layer_23_to_24_sites_20_36compexch_layer_23_to_24_sites_20_36
in BCID_instd_logic_vector (11 downto 0)
Definition: jet_decoder.vhd:56
compExch compexch_layer_09_to_10_sites_25_26compexch_layer_09_to_10_sites_25_26
compExch compexch_layer_01_to_02_sites_48_50compexch_layer_01_to_02_sites_48_50
Definition: cp_decoder.vhd:971
std_logic overflow_local_any
compExch compexch_layer_27_to_28_sites_31_32compexch_layer_27_to_28_sites_31_32
compExch compexch_layer_26_to_27_sites_43_45compexch_layer_26_to_27_sites_43_45
compExch compexch_layer_25_to_26_sites_55_59compexch_layer_25_to_26_sites_55_59
out bus_drive_upstd_logic
or of all bus drive requests from below
compExch compexch_layer_06_to_07_sites_00_08compexch_layer_06_to_07_sites_00_08
compExch compexch_layer_10_to_11_sites_36_52compexch_layer_10_to_11_sites_36_52
compExch compexch_layer_15_to_16_sites_09_41compexch_layer_15_to_16_sites_09_41
compExch compexch_layer_07_to_08_sites_52_56compexch_layer_07_to_08_sites_52_56
compExch compexch_layer_15_to_16_sites_20_52compexch_layer_15_to_16_sites_20_52
compExch compexch_layer_06_to_07_sites_03_11compexch_layer_06_to_07_sites_03_11
compExch compexch_layer_02_to_03_sites_09_10compexch_layer_02_to_03_sites_09_10
Definition: cp_decoder.vhd:866
compExch compexch_layer_04_to_05_sites_27_29compexch_layer_04_to_05_sites_27_29
compExch compexch_layer_23_to_24_sites_50_66compexch_layer_23_to_24_sites_50_66
compExch compexch_layer_09_to_10_sites_45_46compexch_layer_09_to_10_sites_45_46
compExch compexch_layer_06_to_07_sites_36_44compexch_layer_06_to_07_sites_36_44
compExch compexch_layer_10_to_11_sites_33_49compexch_layer_10_to_11_sites_33_49
compExch compexch_layer_25_to_26_sites_52_56compexch_layer_25_to_26_sites_52_56
compExch compexch_layer_17_to_18_sites_46_54compexch_layer_17_to_18_sites_46_54
compExch compexch_layer_03_to_04_sites_16_20compexch_layer_03_to_04_sites_16_20
compExch compexch_layer_15_to_16_sites_29_61compexch_layer_15_to_16_sites_29_61
compExch compexch_layer_08_to_09_sites_39_41compexch_layer_08_to_09_sites_39_41
compExch compexch_layer_04_to_05_sites_43_45compexch_layer_04_to_05_sites_43_45
compExch compexch_layer_21_to_22_sites_01_65compexch_layer_21_to_22_sites_01_65
compExch compexch_layer_10_to_11_sites_41_57compexch_layer_10_to_11_sites_41_57
compExch compexch_layer_26_to_27_sites_35_37compexch_layer_26_to_27_sites_35_37
compExch compexch_layer_09_to_10_sites_51_52compexch_layer_09_to_10_sites_51_52
compExch compexch_layer_10_to_11_sites_42_58compexch_layer_10_to_11_sites_42_58
compExch compexch_layer_26_to_27_sites_67_69compexch_layer_26_to_27_sites_67_69
compExch compexch_layer_02_to_03_sites_61_62compexch_layer_02_to_03_sites_61_62
compExch compexch_layer_18_to_19_sites_44_48compexch_layer_18_to_19_sites_44_48
compExch compexch_layer_14_to_15_sites_51_52compexch_layer_14_to_15_sites_51_52
compExch compexch_layer_03_to_04_sites_64_68compexch_layer_03_to_04_sites_64_68
compExch compexch_layer_19_to_20_sites_66_68compexch_layer_19_to_20_sites_66_68
compExch compexch_layer_05_to_06_sites_19_20compexch_layer_05_to_06_sites_19_20
compExch compexch_layer_13_to_14_sites_59_61compexch_layer_13_to_14_sites_59_61
compExch compexch_layer_01_to_02_sites_28_30compexch_layer_01_to_02_sites_28_30
Definition: cp_decoder.vhd:916
compExch compexch_layer_20_to_21_sites_21_22compexch_layer_20_to_21_sites_21_22
compExch compexch_layer_00_to_01_sites_38_39compexch_layer_00_to_01_sites_38_39
Definition: cp_decoder.vhd:787
compExch compexch_layer_08_to_09_sites_34_36compexch_layer_08_to_09_sites_34_36
compExch compexch_layer_10_to_11_sites_13_29compexch_layer_10_to_11_sites_13_29
compExch compexch_layer_27_to_28_sites_05_06compexch_layer_27_to_28_sites_05_06
compExch compexch_layer_06_to_07_sites_18_26compexch_layer_06_to_07_sites_18_26
compExch compexch_layer_14_to_15_sites_49_50compexch_layer_14_to_15_sites_49_50
compExch compexch_layer_15_to_16_sites_06_38compexch_layer_15_to_16_sites_06_38
compExch compexch_layer_27_to_28_sites_47_48compexch_layer_27_to_28_sites_47_48
compExch compexch_layer_18_to_19_sites_45_49compexch_layer_18_to_19_sites_45_49
compExch compexch_layer_17_to_18_sites_25_33compexch_layer_17_to_18_sites_25_33
compExch compexch_layer_00_to_01_sites_48_49compexch_layer_00_to_01_sites_48_49
Definition: cp_decoder.vhd:807
compExch compexch_layer_19_to_20_sites_54_56compexch_layer_19_to_20_sites_54_56
compExch compexch_layer_20_to_21_sites_33_34compexch_layer_20_to_21_sites_33_34
compExch compexch_layer_27_to_28_sites_07_08compexch_layer_27_to_28_sites_07_08
compExch compexch_layer_23_to_24_sites_26_42compexch_layer_23_to_24_sites_26_42
compExch compexch_layer_13_to_14_sites_43_45compexch_layer_13_to_14_sites_43_45
compExch compexch_layer_20_to_21_sites_41_42compexch_layer_20_to_21_sites_41_42
compExch compexch_layer_03_to_04_sites_34_38compexch_layer_03_to_04_sites_34_38
compExch compexch_layer_01_to_02_sites_16_18compexch_layer_01_to_02_sites_16_18
Definition: cp_decoder.vhd:883
compExch compexch_layer_27_to_28_sites_49_50compexch_layer_27_to_28_sites_49_50
compExch compexch_layer_14_to_15_sites_59_60compexch_layer_14_to_15_sites_59_60
compExch compexch_layer_15_to_16_sites_07_39compexch_layer_15_to_16_sites_07_39
arr_8 (num_copies - 1 downto 0) CLE_overflowing_TOB
Definition: cp_decoder.vhd:142
compExch compexch_layer_03_to_04_sites_26_30compexch_layer_03_to_04_sites_26_30
compExch compexch_layer_10_to_11_sites_32_48compexch_layer_10_to_11_sites_32_48
compExch compexch_layer_20_to_21_sites_19_20compexch_layer_20_to_21_sites_19_20
compExch compexch_layer_00_to_01_sites_00_01compexch_layer_00_to_01_sites_00_01
Definition: cp_decoder.vhd:711
compExch compexch_layer_11_to_12_sites_44_52compexch_layer_11_to_12_sites_44_52
compExch compexch_layer_26_to_27_sites_27_29compexch_layer_26_to_27_sites_27_29
compExch compexch_layer_23_to_24_sites_21_37compexch_layer_23_to_24_sites_21_37
compExch compexch_layer_20_to_21_sites_09_10compexch_layer_20_to_21_sites_09_10
compExch compexch_layer_09_to_10_sites_05_06compexch_layer_09_to_10_sites_05_06
compExch compexch_layer_15_to_16_sites_22_54compexch_layer_15_to_16_sites_22_54
compExch compexch_layer_04_to_05_sites_34_36compexch_layer_04_to_05_sites_34_36
compExch compexch_layer_00_to_01_sites_10_11compexch_layer_00_to_01_sites_10_11
Definition: cp_decoder.vhd:731
compExch compexch_layer_16_to_17_sites_23_39compexch_layer_16_to_17_sites_23_39
compExch compexch_layer_20_to_21_sites_53_54compexch_layer_20_to_21_sites_53_54
compExch compexch_layer_24_to_25_sites_25_33compexch_layer_24_to_25_sites_25_33
compExch compexch_layer_26_to_27_sites_51_53compexch_layer_26_to_27_sites_51_53
compExch compexch_layer_26_to_27_sites_34_36compexch_layer_26_to_27_sites_34_36
compExch compexch_layer_24_to_25_sites_43_51compexch_layer_24_to_25_sites_43_51
compExch compexch_layer_21_to_22_sites_03_67compexch_layer_21_to_22_sites_03_67
compExch compexch_layer_15_to_16_sites_05_37compexch_layer_15_to_16_sites_05_37
compExch compexch_layer_05_to_06_sites_29_30compexch_layer_05_to_06_sites_29_30
compExch compexch_layer_19_to_20_sites_22_24compexch_layer_19_to_20_sites_22_24
compExch compexch_layer_20_to_21_sites_23_24compexch_layer_20_to_21_sites_23_24
compExch compexch_layer_16_to_17_sites_30_46compexch_layer_16_to_17_sites_30_46
compExch compexch_layer_27_to_28_sites_01_02compexch_layer_27_to_28_sites_01_02
compExch compexch_layer_23_to_24_sites_23_39compexch_layer_23_to_24_sites_23_39
compExch compexch_layer_00_to_01_sites_20_21compexch_layer_00_to_01_sites_20_21
Definition: cp_decoder.vhd:751
compExch compexch_layer_00_to_01_sites_42_43compexch_layer_00_to_01_sites_42_43
Definition: cp_decoder.vhd:795
compExch compexch_layer_19_to_20_sites_34_36compexch_layer_19_to_20_sites_34_36
compExch compexch_layer_15_to_16_sites_14_46compexch_layer_15_to_16_sites_14_46
std_logic counter_inhibit_r_local
compExch compexch_layer_14_to_15_sites_07_08compexch_layer_14_to_15_sites_07_08
compExch compexch_layer_03_to_04_sites_27_31compexch_layer_03_to_04_sites_27_31
compExch compexch_layer_01_to_02_sites_29_31compexch_layer_01_to_02_sites_29_31
Definition: cp_decoder.vhd:917
compExch compexch_layer_20_to_21_sites_31_32compexch_layer_20_to_21_sites_31_32
compExch compexch_layer_19_to_20_sites_67_69compexch_layer_19_to_20_sites_67_69
compExch compexch_layer_11_to_12_sites_45_53compexch_layer_11_to_12_sites_45_53
compExch compexch_layer_27_to_28_sites_61_62compexch_layer_27_to_28_sites_61_62
compExch compexch_layer_27_to_28_sites_37_38compexch_layer_27_to_28_sites_37_38
compExch compexch_layer_23_to_24_sites_53_69compexch_layer_23_to_24_sites_53_69
compExch compexch_layer_05_to_06_sites_09_10compexch_layer_05_to_06_sites_09_10
compExch compexch_layer_23_to_24_sites_27_43compexch_layer_23_to_24_sites_27_43
compExch compexch_layer_10_to_11_sites_08_24compexch_layer_10_to_11_sites_08_24
compExch compexch_layer_00_to_01_sites_66_67compexch_layer_00_to_01_sites_66_67
Definition: cp_decoder.vhd:963
compExch compexch_layer_25_to_26_sites_07_11compexch_layer_25_to_26_sites_07_11
compExch compexch_layer_14_to_15_sites_67_68compexch_layer_14_to_15_sites_67_68
compExch compexch_layer_25_to_26_sites_15_19compexch_layer_25_to_26_sites_15_19
in DATAstd_logic_vector (numbits - 1 downto 0)
Definition: or_all.vhd:34
compExch compexch_layer_06_to_07_sites_22_30compexch_layer_06_to_07_sites_22_30
compExch compexch_layer_17_to_18_sites_47_55compexch_layer_17_to_18_sites_47_55
compExch compexch_layer_03_to_04_sites_11_15compexch_layer_03_to_04_sites_11_15
compExch compexch_layer_26_to_27_sites_46_48compexch_layer_26_to_27_sites_46_48
compExch compexch_layer_19_to_20_sites_31_33compexch_layer_19_to_20_sites_31_33
compExch compexch_layer_10_to_11_sites_04_20compexch_layer_10_to_11_sites_04_20
compExch compexch_layer_13_to_14_sites_23_25compexch_layer_13_to_14_sites_23_25
arr_TOB (max_tobs_tot - 1 downto 0) TOBs_input
Definition: cp_decoder.vhd:150
num_tobs_half ntobsA
Definition: cp_decoder.vhd:146
compExch compexch_layer_22_to_23_sites_35_67compexch_layer_22_to_23_sites_35_67
compExch compexch_layer_26_to_27_sites_50_52compexch_layer_26_to_27_sites_50_52
compExch compexch_layer_06_to_07_sites_07_15compexch_layer_06_to_07_sites_07_15
compExch compexch_layer_14_to_15_sites_25_26compexch_layer_14_to_15_sites_25_26
compExch compexch_layer_26_to_27_sites_07_09compexch_layer_26_to_27_sites_07_09
compExch compexch_layer_25_to_26_sites_14_18compexch_layer_25_to_26_sites_14_18
in addr_vmestd_logic_vector (15 downto 0)
Definition: jet_decoder.vhd:67
compExch compexch_layer_00_to_01_sites_14_15compexch_layer_00_to_01_sites_14_15
Definition: cp_decoder.vhd:739
compExch compexch_layer_14_to_15_sites_23_24compexch_layer_14_to_15_sites_23_24
compExch compexch_layer_09_to_10_sites_49_50compexch_layer_09_to_10_sites_49_50
compExch compexch_layer_08_to_09_sites_54_56compexch_layer_08_to_09_sites_54_56
compExch compexch_layer_10_to_11_sites_44_60compexch_layer_10_to_11_sites_44_60
compExch compexch_layer_26_to_27_sites_62_64compexch_layer_26_to_27_sites_62_64
compExch compexch_layer_05_to_06_sites_21_22compexch_layer_05_to_06_sites_21_22
compExch compexch_layer_06_to_07_sites_38_46compexch_layer_06_to_07_sites_38_46
compExch compexch_layer_08_to_09_sites_18_20compexch_layer_08_to_09_sites_18_20
compExch compexch_layer_20_to_21_sites_61_62compexch_layer_20_to_21_sites_61_62
compExch compexch_layer_24_to_25_sites_58_66compexch_layer_24_to_25_sites_58_66
compExch compexch_layer_00_to_01_sites_18_19compexch_layer_00_to_01_sites_18_19
Definition: cp_decoder.vhd:747
compExch compexch_layer_09_to_10_sites_27_28compexch_layer_09_to_10_sites_27_28
compExch compexch_layer_10_to_11_sites_00_16compexch_layer_10_to_11_sites_00_16
compExch compexch_layer_01_to_02_sites_05_07compexch_layer_01_to_02_sites_05_07
Definition: cp_decoder.vhd:851
compExch compexch_layer_02_to_03_sites_49_50compexch_layer_02_to_03_sites_49_50
Definition: cp_decoder.vhd:976
compExch compexch_layer_01_to_02_sites_52_54compexch_layer_01_to_02_sites_52_54
Definition: cp_decoder.vhd:982
compExch compexch_layer_23_to_24_sites_28_44compexch_layer_23_to_24_sites_28_44
compExch compexch_layer_14_to_15_sites_01_02compexch_layer_14_to_15_sites_01_02
pos_type roi_posA
Definition: cp_decoder.vhd:127
compExch compexch_layer_15_to_16_sites_04_36compexch_layer_15_to_16_sites_04_36
compExch compexch_layer_15_to_16_sites_12_44compexch_layer_15_to_16_sites_12_44
compExch compexch_layer_05_to_06_sites_43_44compexch_layer_05_to_06_sites_43_44
compExch compexch_layer_25_to_26_sites_06_10compexch_layer_25_to_26_sites_06_10
max_tobs_topointeger :=24
Definition: jet_decoder.vhd:32
pos_type_ext roi_posB_full
Definition: cp_decoder.vhd:128
out LJetTOB
Definition: compExch.vhd:26
compExch compexch_layer_25_to_26_sites_29_33compexch_layer_25_to_26_sites_29_33
compExch compexch_layer_05_to_06_sites_57_58compexch_layer_05_to_06_sites_57_58
compExch compexch_layer_04_to_05_sites_58_60compexch_layer_04_to_05_sites_58_60
compExch compexch_layer_06_to_07_sites_32_40compexch_layer_06_to_07_sites_32_40
compExch compexch_layer_07_to_08_sites_54_58compexch_layer_07_to_08_sites_54_58
compExch compexch_layer_01_to_02_sites_32_34compexch_layer_01_to_02_sites_32_34
Definition: cp_decoder.vhd:927
compExch compexch_layer_12_to_13_sites_21_25compexch_layer_12_to_13_sites_21_25
compExch compexch_layer_15_to_16_sites_28_60compexch_layer_15_to_16_sites_28_60
compExch compexch_layer_15_to_16_sites_11_43compexch_layer_15_to_16_sites_11_43
compExch compexch_layer_22_to_23_sites_33_65compexch_layer_22_to_23_sites_33_65
compExch compexch_layer_14_to_15_sites_11_12compexch_layer_14_to_15_sites_11_12
compExch compexch_layer_01_to_02_sites_61_63compexch_layer_01_to_02_sites_61_63
compExch compexch_layer_16_to_17_sites_28_44compexch_layer_16_to_17_sites_28_44
compExch compexch_layer_00_to_01_sites_56_57compexch_layer_00_to_01_sites_56_57
Definition: cp_decoder.vhd:823
compExch compexch_layer_07_to_08_sites_07_11compexch_layer_07_to_08_sites_07_11
compExch compexch_layer_12_to_13_sites_22_26compexch_layer_12_to_13_sites_22_26
compExch compexch_layer_19_to_20_sites_38_40compexch_layer_19_to_20_sites_38_40
compExch compexch_layer_01_to_02_sites_45_47compexch_layer_01_to_02_sites_45_47
Definition: cp_decoder.vhd:961
compExch compexch_layer_01_to_02_sites_41_43compexch_layer_01_to_02_sites_41_43
Definition: cp_decoder.vhd:950
compExch compexch_layer_10_to_11_sites_06_22compexch_layer_10_to_11_sites_06_22
compExch compexch_layer_03_to_04_sites_09_13compexch_layer_03_to_04_sites_09_13
compExch compexch_layer_15_to_16_sites_10_42compexch_layer_15_to_16_sites_10_42
compExch compexch_layer_09_to_10_sites_11_12compexch_layer_09_to_10_sites_11_12
compExch compexch_layer_09_to_10_sites_13_14compexch_layer_09_to_10_sites_13_14
compExch compexch_layer_25_to_26_sites_47_51compexch_layer_25_to_26_sites_47_51
compExch compexch_layer_02_to_03_sites_41_42compexch_layer_02_to_03_sites_41_42
Definition: cp_decoder.vhd:954
compExch compexch_layer_25_to_26_sites_44_48compexch_layer_25_to_26_sites_44_48
compExch compexch_layer_04_to_05_sites_11_13compexch_layer_04_to_05_sites_11_13
compExch compexch_layer_00_to_01_sites_52_53compexch_layer_00_to_01_sites_52_53
Definition: cp_decoder.vhd:815
compExch compexch_layer_16_to_17_sites_24_40compexch_layer_16_to_17_sites_24_40
compExch compexch_layer_03_to_04_sites_35_39compexch_layer_03_to_04_sites_35_39
out overflowstd_logic_vector (num_copies - 1 downto 0)
Definition: jet_decoder.vhd:51
compExch compexch_layer_05_to_06_sites_33_34compexch_layer_05_to_06_sites_33_34
compExch compexch_layer_20_to_21_sites_05_06compexch_layer_20_to_21_sites_05_06
compExch compexch_layer_10_to_11_sites_11_27compexch_layer_10_to_11_sites_11_27
compExch compexch_layer_02_to_03_sites_17_18compexch_layer_02_to_03_sites_17_18
Definition: cp_decoder.vhd:888
compExch compexch_layer_00_to_01_sites_08_09compexch_layer_00_to_01_sites_08_09
Definition: cp_decoder.vhd:727
compExch compexch_layer_13_to_14_sites_42_44compexch_layer_13_to_14_sites_42_44
compExch compexch_layer_04_to_05_sites_50_52compexch_layer_04_to_05_sites_50_52
in clk40MHzstd_logic
Definition: jet_decoder.vhd:42
compExch compexch_layer_19_to_20_sites_43_45compexch_layer_19_to_20_sites_43_45
compExch compexch_layer_24_to_25_sites_45_53compexch_layer_24_to_25_sites_45_53
compExch compexch_layer_00_to_01_sites_30_31compexch_layer_00_to_01_sites_30_31
Definition: cp_decoder.vhd:771
compExch compexch_layer_01_to_02_sites_12_14compexch_layer_01_to_02_sites_12_14
Definition: cp_decoder.vhd:872
compExch compexch_layer_13_to_14_sites_54_56compexch_layer_13_to_14_sites_54_56
compExch compexch_layer_18_to_19_sites_29_33compexch_layer_18_to_19_sites_29_33
compExch compexch_layer_08_to_09_sites_51_53compexch_layer_08_to_09_sites_51_53
compExch compexch_layer_02_to_03_sites_29_30compexch_layer_02_to_03_sites_29_30
Definition: cp_decoder.vhd:921
compExch compexch_layer_16_to_17_sites_19_35compexch_layer_16_to_17_sites_19_35
compExch compexch_layer_24_to_25_sites_12_20compexch_layer_24_to_25_sites_12_20
compExch compexch_layer_03_to_04_sites_08_12compexch_layer_03_to_04_sites_08_12
compExch compexch_layer_06_to_07_sites_48_56compexch_layer_06_to_07_sites_48_56
compExch compexch_layer_24_to_25_sites_29_37compexch_layer_24_to_25_sites_29_37
compExch compexch_layer_08_to_09_sites_66_68compexch_layer_08_to_09_sites_66_68
compExch compexch_layer_17_to_18_sites_42_50compexch_layer_17_to_18_sites_42_50
compExch compexch_layer_17_to_18_sites_45_53compexch_layer_17_to_18_sites_45_53
compExch compexch_layer_21_to_22_sites_00_64compexch_layer_21_to_22_sites_00_64
compExch compexch_layer_27_to_28_sites_63_64compexch_layer_27_to_28_sites_63_64
compExch compexch_layer_13_to_14_sites_19_21compexch_layer_13_to_14_sites_19_21
compExch compexch_layer_02_to_03_sites_01_02compexch_layer_02_to_03_sites_01_02
Definition: cp_decoder.vhd:844
compExch compexch_layer_11_to_12_sites_47_55compexch_layer_11_to_12_sites_47_55
compExch compexch_layer_10_to_11_sites_03_19compexch_layer_10_to_11_sites_03_19
compExch compexch_layer_00_to_01_sites_32_33compexch_layer_00_to_01_sites_32_33
Definition: cp_decoder.vhd:775
compExch compexch_layer_02_to_03_sites_13_14compexch_layer_02_to_03_sites_13_14
Definition: cp_decoder.vhd:877
compExch compexch_layer_20_to_21_sites_67_68compexch_layer_20_to_21_sites_67_68
compExch compexch_layer_20_to_21_sites_35_36compexch_layer_20_to_21_sites_35_36
compExch compexch_layer_02_to_03_sites_37_38compexch_layer_02_to_03_sites_37_38
Definition: cp_decoder.vhd:943
num_tobs ntobs
compExch compexch_layer_27_to_28_sites_51_52compexch_layer_27_to_28_sites_51_52
compExch compexch_layer_25_to_26_sites_05_09compexch_layer_25_to_26_sites_05_09
compExch compexch_layer_09_to_10_sites_23_24compexch_layer_09_to_10_sites_23_24
compExch compexch_layer_24_to_25_sites_46_54compexch_layer_24_to_25_sites_46_54
compExch compexch_layer_16_to_17_sites_31_47compexch_layer_16_to_17_sites_31_47
compExch compexch_layer_27_to_28_sites_55_56compexch_layer_27_to_28_sites_55_56
compExch compexch_layer_13_to_14_sites_39_41compexch_layer_13_to_14_sites_39_41
compExch compexch_layer_03_to_04_sites_42_46compexch_layer_03_to_04_sites_42_46
compExch compexch_layer_15_to_16_sites_02_34compexch_layer_15_to_16_sites_02_34
compExch compexch_layer_03_to_04_sites_40_44compexch_layer_03_to_04_sites_40_44
test registers
compExch compexch_layer_12_to_13_sites_36_40compexch_layer_12_to_13_sites_36_40
compExch compexch_layer_27_to_28_sites_21_22compexch_layer_27_to_28_sites_21_22
compExch compexch_layer_16_to_17_sites_20_36compexch_layer_16_to_17_sites_20_36
compExch compexch_layer_03_to_04_sites_19_23compexch_layer_03_to_04_sites_19_23
compExch compexch_layer_17_to_18_sites_31_39compexch_layer_17_to_18_sites_31_39
compExch compexch_layer_24_to_25_sites_59_67compexch_layer_24_to_25_sites_59_67
compExch compexch_layer_13_to_14_sites_02_04compexch_layer_13_to_14_sites_02_04
compExch compexch_layer_26_to_27_sites_47_49compexch_layer_26_to_27_sites_47_49
std_logic_vector (num_copies - 1 downto 0) overflow_sig
compExch compexch_layer_16_to_17_sites_22_38compexch_layer_16_to_17_sites_22_38
compExch compexch_layer_10_to_11_sites_01_17compexch_layer_10_to_11_sites_01_17
compExch compexch_layer_03_to_04_sites_50_54compexch_layer_03_to_04_sites_50_54
compExch compexch_layer_08_to_09_sites_07_09compexch_layer_08_to_09_sites_07_09
compExch compexch_layer_24_to_25_sites_47_55compexch_layer_24_to_25_sites_47_55
compExch compexch_layer_25_to_26_sites_53_57compexch_layer_25_to_26_sites_53_57
compExch compexch_layer_19_to_20_sites_06_08compexch_layer_19_to_20_sites_06_08
compExch compexch_layer_27_to_28_sites_33_34compexch_layer_27_to_28_sites_33_34
compExch compexch_layer_20_to_21_sites_13_14compexch_layer_20_to_21_sites_13_14
in pll_lockedstd_logic
Definition: jet_decoder.vhd:46
compExch compexch_layer_00_to_01_sites_50_51compexch_layer_00_to_01_sites_50_51
Definition: cp_decoder.vhd:811
compExch compexch_layer_24_to_25_sites_27_35compexch_layer_24_to_25_sites_27_35
compExch compexch_layer_27_to_28_sites_03_04compexch_layer_27_to_28_sites_03_04
compExch compexch_layer_20_to_21_sites_07_08compexch_layer_20_to_21_sites_07_08
compExch compexch_layer_00_to_01_sites_68_69compexch_layer_00_to_01_sites_68_69
Definition: cp_decoder.vhd:966
compExch compexch_layer_08_to_09_sites_43_45compexch_layer_08_to_09_sites_43_45
compExch compexch_layer_26_to_27_sites_14_16compexch_layer_26_to_27_sites_14_16
compExch compexch_layer_05_to_06_sites_65_66compexch_layer_05_to_06_sites_65_66
in clk40MHz_m180ostd_logic
Definition: jet_decoder.vhd:45
compExch compexch_layer_12_to_13_sites_55_59compexch_layer_12_to_13_sites_55_59
compExch compexch_layer_00_to_01_sites_16_17compexch_layer_00_to_01_sites_16_17
Definition: cp_decoder.vhd:743
compExch compexch_layer_13_to_14_sites_27_29compexch_layer_13_to_14_sites_27_29
compExch compexch_layer_09_to_10_sites_43_44compexch_layer_09_to_10_sites_43_44
compExch compexch_layer_21_to_22_sites_02_66compexch_layer_21_to_22_sites_02_66
compExch compexch_layer_03_to_04_sites_00_04compexch_layer_03_to_04_sites_00_04
compExch compexch_layer_05_to_06_sites_27_28compexch_layer_05_to_06_sites_27_28
compExch compexch_layer_15_to_16_sites_00_32compexch_layer_15_to_16_sites_00_32
compExch compexch_layer_13_to_14_sites_38_40compexch_layer_13_to_14_sites_38_40
compExch compexch_layer_09_to_10_sites_33_34compexch_layer_09_to_10_sites_33_34
compExch compexch_layer_03_to_04_sites_59_63compexch_layer_03_to_04_sites_59_63
compExch compexch_layer_15_to_16_sites_08_40compexch_layer_15_to_16_sites_08_40
pos_type roi_posB
Definition: cp_decoder.vhd:129
compExch compexch_layer_15_to_16_sites_01_33compexch_layer_15_to_16_sites_01_33
compExch compexch_layer_11_to_12_sites_41_49compexch_layer_11_to_12_sites_41_49
compExch compexch_layer_20_to_21_sites_15_16compexch_layer_20_to_21_sites_15_16
out bus_drivestd_logic
Definition: jet_decoder.vhd:69
compExch compexch_layer_23_to_24_sites_51_67compexch_layer_23_to_24_sites_51_67
compExch compexch_layer_19_to_20_sites_07_09compexch_layer_19_to_20_sites_07_09
compExch compexch_layer_18_to_19_sites_54_58compexch_layer_18_to_19_sites_54_58
compExch compexch_layer_15_to_16_sites_23_55compexch_layer_15_to_16_sites_23_55
compExch compexch_layer_02_to_03_sites_33_34compexch_layer_02_to_03_sites_33_34
Definition: cp_decoder.vhd:932
compExch compexch_layer_07_to_08_sites_06_10compexch_layer_07_to_08_sites_06_10
in data_to_vmestd_logic_vector (width - 1 downto 0)
compExch compexch_layer_08_to_09_sites_11_13compexch_layer_08_to_09_sites_11_13
compExch compexch_layer_09_to_10_sites_67_68compexch_layer_09_to_10_sites_67_68
compExch compexch_layer_07_to_08_sites_55_59compexch_layer_07_to_08_sites_55_59
compExch compexch_layer_08_to_09_sites_23_25compexch_layer_08_to_09_sites_23_25
compExch compexch_layer_18_to_19_sites_22_26compexch_layer_18_to_19_sites_22_26
compExch compexch_layer_26_to_27_sites_19_21compexch_layer_26_to_27_sites_19_21
compExch compexch_layer_23_to_24_sites_19_35compexch_layer_23_to_24_sites_19_35
compExch compexch_layer_01_to_02_sites_53_55compexch_layer_01_to_02_sites_53_55
Definition: cp_decoder.vhd:983
compExch compexch_layer_23_to_24_sites_22_38compexch_layer_23_to_24_sites_22_38
compExch compexch_layer_10_to_11_sites_07_23compexch_layer_10_to_11_sites_07_23
compExch compexch_layer_00_to_01_sites_22_23compexch_layer_00_to_01_sites_22_23
Definition: cp_decoder.vhd:755
compExch compexch_layer_15_to_16_sites_17_49compexch_layer_15_to_16_sites_17_49
compExch compexch_layer_18_to_19_sites_04_08compexch_layer_18_to_19_sites_04_08
compExch compexch_layer_25_to_26_sites_36_40compexch_layer_25_to_26_sites_36_40
compExch compexch_layer_04_to_05_sites_03_05compexch_layer_04_to_05_sites_03_05
compExch compexch_layer_11_to_12_sites_43_51compexch_layer_11_to_12_sites_43_51
compExch compexch_layer_13_to_14_sites_06_08compexch_layer_13_to_14_sites_06_08
compExch compexch_layer_19_to_20_sites_55_57compexch_layer_19_to_20_sites_55_57
in counter_resetstd_logic
Definition: jet_decoder.vhd:62
compExch compexch_layer_26_to_27_sites_10_12compexch_layer_26_to_27_sites_10_12
compExch compexch_layer_05_to_06_sites_41_42compexch_layer_05_to_06_sites_41_42
compExch compexch_layer_01_to_02_sites_33_35compexch_layer_01_to_02_sites_33_35
Definition: cp_decoder.vhd:928
in bus_drive_from_belowstd_logic_vector
compExch compexch_layer_06_to_07_sites_54_62compexch_layer_06_to_07_sites_54_62
compExch compexch_layer_04_to_05_sites_02_04compexch_layer_04_to_05_sites_02_04
compExch compexch_layer_12_to_13_sites_38_42compexch_layer_12_to_13_sites_38_42
compExch compexch_layer_11_to_12_sites_15_23compexch_layer_11_to_12_sites_15_23
compExch compexch_layer_09_to_10_sites_55_56compexch_layer_09_to_10_sites_55_56
compExch compexch_layer_01_to_02_sites_13_15compexch_layer_01_to_02_sites_13_15
Definition: cp_decoder.vhd:873
compExch compexch_layer_12_to_13_sites_53_57compexch_layer_12_to_13_sites_53_57
compExch compexch_layer_07_to_08_sites_37_41compexch_layer_07_to_08_sites_37_41
compExch compexch_layer_06_to_07_sites_35_43compexch_layer_06_to_07_sites_35_43