CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
jet_decoder.vhd
Go to the documentation of this file.
1 ----------------------------------------------------------------------------------
13 ----------------------------------------------------------------------------------
14 library IEEE;
15 use IEEE.STD_LOGIC_1164.ALL;
16 use IEEE.NUMERIC_STD.ALL;
17 use IEEE.STD_LOGIC_UNSIGNED.ALL;
18 use IEEE.STD_LOGIC_ARITH.CONV_STD_LOGIC_VECTOR;
19 Library work;
20 use work.CMXpackage.all;
23 
24 
25 library UNISIM;
26 use UNISIM.VComponents.all;
27 
28 
29 entity decoder is
30  generic (
31  max_tobs_tot : integer := 64;
32  max_tobs_topo : integer := 24;
33  max_jems : integer := 16;
34  max_tobs_pjem : integer := 4;
35  et2_width : integer := 10;
36  et1_width : integer := 9;
37  pos_width : integer := 9;
38  thresholds_num : integer := 25;
39  thresholds_width : integer := 10);
40 
41  port(
42  clk40MHz : in std_logic; -- clk40MHz clock
43  clk40MHz_m90o : in std_logic;
44  clk40MHz_90o : in std_logic;
45  clk40MHz_m180o : in std_logic;
46  pll_locked : in std_logic; -- reset
47  datai : in arr_4Xword(max_jems-1 downto 0); -- input data
48  datai_first_half : in arr_2Xword(max_jems-1 downto 0);
49  Tobs_to_TOPO : out copy_arr_TOB; -- TOB arrays to load onto
50  -- encoder; copied x3
51  overflow : out std_logic_vector(num_copies-1 downto 0);
52  --tot_Et2 : out std_logic_vector(et2_width*max_tobs_topo-1 downto 0); -- output to L1Topo
53  --tot_Et1 : out std_logic_vector(et1_width*max_tobs_topo-1 downto 0); -- output to L1Topo
54  --tot_pos : out std_logic_vector(pos_width*max_tobs_topo-1 downto 0); -- output to L1Topo
55  --overflow : out std_logic;
56  BCID_in : in std_logic_vector(11 downto 0);
57  BCID_delayed : out std_logic_vector(11 downto 0); --BCID id delayed to
58  --match the latency
59  --of the processing
60  --tob rate counter contol
61  counter_inhibit : in std_logic;
62  counter_reset : in std_logic;
63  --VME control:
64  ncs : in std_logic;
65  rd_nwr : in std_logic;
66  ds : in std_logic;
67  addr_vme : in std_logic_vector (15 downto 0);
68  data_vme_out : out std_logic_vector (15 downto 0);
69  bus_drive : out std_logic); -- overflow
70 
71 end decoder;
72 
73 architecture RTL of decoder is
74 
75  --attribute keep : string;
76 
77 
78  signal data_vme_out_local : arr_16((4*max_jems)+4 + (max_jems*num_presence_bits_pjem)*2-1 downto 0);
79  signal bus_drive_local : std_logic_vector((4*max_jems)+4 + (max_jems*num_presence_bits_pjem)*2-1 downto 0);
80 
81  component vme_local_switch is
82  port (
83  data_vme_up : out std_logic_vector (15 downto 0);
84  data_vme_from_below : in arr_16;
85  bus_drive_up : out std_logic;
86  bus_drive_from_below : in std_logic_vector);
87  end component vme_local_switch;
88 
90  generic (
91  ia_vme : integer;
92  width : integer);
93  port (
94  ncs : in std_logic;
95  rd_nwr : in std_logic;
96  ds : in std_logic;
97  addr_vme : in std_logic_vector (15 downto 0);
98  data_vme : out std_logic_vector (15 downto 0);
99  bus_drive : out std_logic;
100  data_to_vme : in std_logic_vector (width-1 downto 0));
101  end component vme_outreg_notri_async;
102 
103 
104  component compExch is
105  port (
106  A, B : in JetTOB;
107  H, L : out JetTOB);
108  end component compExch;
109 
110 --
111 -- RoI Position
112 --
113  component roipos
114  PORT (
115  clka : in std_logic;
116  addra : in std_logic_vector(7 downto 0);
117  douta : out std_logic_vector(11 downto 0)
118  );
119  end component;
120 
121  component multiply
122  port(
123  clka: IN std_logic;
124  addra: IN std_logic_vector(7 downto 0);
125  douta: OUT std_logic_vector(3 downto 0));
126  end component;
127 
128  component or_all is
129  generic (
130  numbits : integer);
131  port (
132  DATA : in std_logic_vector(numbits - 1 downto 0);
133  or_all : out std_logic);
134  end component or_all;
135 
136 --
137 -- Decoder signals
138 --
139 
140  signal roi_pos : pos_type;
141 
142 
143  --signal overflw,
144  -- overflw_del0 : std_logic_vector(max_jems-1 downto 0);
145 
146  signal overflow_local : std_logic_vector(max_jems-1 downto 0);
147  signal or_all_ov_local : std_logic;
148  signal overflow_local_any : std_logic;
149  signal overflow_local_any_reg : std_logic;
150  signal overflow_sig : std_logic_vector(num_copies-1 downto 0);
151  signal Et2Et1_overflowing_TOB : arr_19(num_copies-1 downto 0);
152 
153 
154  signal ntobs : num_tobs;
155  --signal ntobs_i : ntobs_type;
156 
157  signal TOBs_input : arr_TOB(63 downto 0);
158  --signal TOBs_output : arr_TOB(63 downto 0);
159 
160  signal TobLayerIn : type_TOB_sort_layers;
161  signal TobLayerOut : type_TOB_sort_layers;
162 
163  --component chipscope_ila_jet_decoder is
164  -- port (
165  -- CONTROL : inout std_logic_vector(35 downto 0);
166  -- CLK : in std_logic;
167  -- DATA : in std_logic_vector(377 downto 0);
168  -- TRIG0 : in std_logic_vector(0 to 0));
169  --end component chipscope_ila_jet_decoder;
170  --
171  --signal CONTROL : std_logic_vector(35 downto 0);
172  --signal DATA_ila_jet_decoder : std_logic_vector(377 downto 0);
173  --signal TRIG0_ila_jet_decoder : std_logic_vector(0 to 0);
174 
175  --component chipscope_icon_u2_c1 is
176  -- port (
177  -- CONTROL0 : inout std_logic_vector(35 downto 0));
178  --end component chipscope_icon_u2_c1;
179 
180  signal ntobs_counter : arr_ctr_33bit(max_jems-1 downto 0);
181  signal presence_bit_counter : arr_ctr_32bit(max_jems*num_presence_bits_pjem -1 downto 0);
182 
183  signal local_backplane_overflow_counter : arr_ctr_33bit(max_jems-1 downto 0);
184 
185  signal global_backplane_overflow_counter : unsigned(32 downto 0);
186  signal total_overflow_counter : unsigned(32 downto 0);
187 
188  signal counter_inhibit_r_local : std_logic;
189  signal counter_reset_r_local : std_logic;
190 
191  signal all_one_thirtythree : std_logic_vector(32 downto 0);
192 
193  signal BCID_r, BCID_rr : std_logic_vector(11 downto 0);
194 
195  --attribute keep of Tobs_to_TOPO_sig: signal is "TRUE";
196 
197 
198 begin
199 
200  all_one_thirtythree<=(others=>'1');
201 
202  vme_local_switch_inst: entity work.vme_local_switch
203  port map (
208 
209  process(clk40MHz)
210  begin
211  if rising_edge(clk40MHz) then
214  end if;
215  end process;
216 
217 
218  data_parser_0: for i_jem in 0 to (max_jems-1) generate
219  data_parser_1: for j_tob in 0 to (max_tobs_pjem-1) generate
220 
221  process(clk40MHz_90o)
222  begin
223  if rising_edge(clk40MHz_90o) then
224  TOBs_input(i_jem*max_tobs_pjem+j_tob).Et2<=datai(i_jem)((24*j_tob)+22 downto (24*j_tob)+13);
225  TOBs_input(i_jem*max_tobs_pjem+j_tob).Et1(arr_addr_Et1_LSword_size(j_tob)-1 downto 0)<=datai(i_jem)(arr_addr_Et1_LSword_loc_Hi(j_tob) downto arr_addr_Et1_LSword_loc_Lo(j_tob));
226  TOBs_input(i_jem*max_tobs_pjem+j_tob).Et1(8 downto arr_addr_Et1_LSword_size(j_tob))<=datai(i_jem)(arr_addr_Et1_MSword_loc_Hi(j_tob) downto arr_addr_Et1_MSword_loc_Lo(j_tob));
227  TOBs_input(i_jem*max_tobs_pjem+j_tob).pos(1 downto 0)<=datai(i_jem)(12+24*j_tob downto 11+24*j_tob);
228  TOBs_input(i_jem*max_tobs_pjem+j_tob).pos(4 downto 2)<=roi_pos(i_jem)(2+j_tob*3 downto j_tob*3);
229  TOBs_input(i_jem*max_tobs_pjem+j_tob).pos(8 downto 5)<=std_logic_vector(to_unsigned(i_jem,4));
230  end if;
231  end process;
232 
233  end generate data_parser_1;
234  end generate data_parser_0;
235 
236 
237  --gen_a: for i in 0 to max_tobs_topo-1 generate
238  -- tot_Et2((10*i)+9 downto 10*i) <= TOBs_output(i).Et2;
239  -- tot_Et1((9*i)+8 downto 9*i) <= TOBs_output(i).Et1;
240  -- tot_pos((9*i)+8 downto 9*i) <= TOBs_output(i).pos;
241  --end generate;
242 
243  roipos_i: for i in 0 to (max_jems-1) generate
244  roipos_single: roipos
245  port map (
246  clka => clk40MHz_m90o ,
247  addra => datai_first_half (i)(7 downto 0),
248  douta => roi_pos(i));
249  end generate;
250 
251  multiply_i: for i in 0 to (max_jems-1) generate
252  single_multiply: multiply
253  port map(
254  clka => clk40MHz_m90o ,
255  addra => datai_first_half (i)(7 downto 0),
256  douta => ntobs(i));
257 
258  process(clk40MHz)
259  begin
260  if rising_edge(clk40MHz) then
261  if counter_reset_r_local='1' then
262  ntobs_counter(i)<=to_unsigned(0,33);
263  else
264  if ntobs_counter(i)(32)='1' then
265  ntobs_counter(i)<=unsigned(all_one_thirtythree);
266  else
267  if counter_inhibit_r_local /= '1' then
268  ntobs_counter(i)<=ntobs_counter(i)+unsigned(ntobs(i));
269  else
271  end if;
272  end if;
273  end if;
274  end if;
275  end process;
276 
277  vme_outreg_notri_async_REG_RO_TOB_COUNTER_0: entity work.vme_outreg_notri_async
278  generic map (
279  ia_vme => ADDR_REG_RO_TOB_COUNTER+4*i ,
280  width => 16)
281  port map (
282  ncs => ncs,
283  rd_nwr => rd_nwr,
284  ds => ds,
285  addr_vme => addr_vme,
287  bus_drive => bus_drive_local (2*i),
288  data_to_vme => std_logic_vector(ntobs_counter(i)(15 downto 0)));
289 
290  vme_outreg_notri_async_REG_RO_TOB_COUNTER_1: entity work.vme_outreg_notri_async
291  generic map (
292  ia_vme => ADDR_REG_RO_TOB_COUNTER+4*i+2,
293  width => 16)
294  port map (
295  ncs => ncs,
296  rd_nwr => rd_nwr,
297  ds => ds,
298  addr_vme => addr_vme,
299  data_vme => data_vme_out_local(2*i+1),
300  bus_drive => bus_drive_local (2*i+1),
301  data_to_vme => std_logic_vector(ntobs_counter(i)(31 downto 16)));
302 
303 
304  gen_presence_counter: for i_pres_bit in 0 to (num_presence_bits_pjem-1) generate
305  constant i_counter : integer:=i*num_presence_bits_pjem+i_pres_bit;
306  begin
307 
308  process(clk40MHz)
309  begin
310  if rising_edge(clk40MHz) then
311  if counter_reset_r_local='1' then
312  presence_bit_counter(i_counter)<=to_unsigned(0,32);
313  else
314  if counter_inhibit_r_local/='1' and presence_bit_counter(i_counter)/=unsigned(all_one_thirtythree(31 downto 0)) and datai(i)(i_pres_bit)='1' then
316  else
318  end if;
319  end if;
320  end if;
321  end process;
322 
323 
324  vme_outreg_notri_async_REG_RO_PRESENCE_COUNTER_0: entity work.vme_outreg_notri_async
325  generic map (
326  ia_vme => ADDR_REG_RO_PRESENCE_COUNTER+4*i_counter,
327  width => 16)
328  port map (
329  ncs => ncs,
330  rd_nwr => rd_nwr,
331  ds => ds,
332  addr_vme => addr_vme,
333  data_vme => data_vme_out_local((4*max_jems)+4 + i_counter*2),
334  bus_drive => bus_drive_local ((4*max_jems)+4 + i_counter*2),
335  data_to_vme => std_logic_vector(presence_bit_counter(i_counter)(15 downto 0)));
336 
337  vme_outreg_notri_async_REG_RO_PRESENCE_COUNTER_1: entity work.vme_outreg_notri_async
338  generic map (
339  ia_vme => ADDR_REG_RO_PRESENCE_COUNTER+4*i_counter+2,
340  width => 16)
341  port map (
342  ncs => ncs,
343  rd_nwr => rd_nwr,
344  ds => ds,
345  addr_vme => addr_vme,
346  data_vme => data_vme_out_local((4*max_jems)+4 + i_counter*2+1),
347  bus_drive => bus_drive_local ((4*max_jems)+4 + i_counter*2+1),
348  data_to_vme => std_logic_vector(presence_bit_counter(i_counter)(31 downto 16)));
349 
350  end generate gen_presence_counter;
351 
352 
353  end generate;
354 
355 
356  ov_local_gen: for i_jem in 0 to (max_jems-1) generate
357 
358  process(clk40MHz_m90o)
359  begin
360  if rising_edge(clk40MHz_m90o) then
361  if unsigned(ntobs(i_jem))>to_unsigned(4,4) then
362  overflow_local(i_jem)<='1';
363  else
364  overflow_local(i_jem)<='0';
365  end if;
366  end if;
367  end process;
368 
369  process(clk40MHz)
370  begin
371  if rising_edge(clk40MHz) then
372  if counter_reset_r_local='1' then
373  local_backplane_overflow_counter(i_jem)<=to_unsigned(0,33);
374  else
375  if local_backplane_overflow_counter(i_jem)(32)='1' then
376  local_backplane_overflow_counter(i_jem)<=unsigned(all_one_thirtythree);
377  else
378  if counter_inhibit_r_local /= '1' and overflow_local(i_jem)='1' then
379  local_backplane_overflow_counter(i_jem)<=local_backplane_overflow_counter(i_jem)+1;
380  else
381  local_backplane_overflow_counter(i_jem)<=local_backplane_overflow_counter(i_jem);
382  end if;
383  end if;
384  end if;
385  end if;
386  end process;
387 
388 
389  vme_outreg_notri_async_REG_RO_LOCAL_BACKPLANE_OVERFLOW_COUNTER_0: entity work.vme_outreg_notri_async
390  generic map (
391  ia_vme => ADDR_REG_RO_LOCAL_BACKPLANE_OVERFLOW_COUNTER+4*i_jem,
392  width => 16)
393  port map (
394  ncs => ncs,
395  rd_nwr => rd_nwr,
396  ds => ds,
397  addr_vme => addr_vme,
398  data_vme => data_vme_out_local((2*max_jems)+2*i_jem),
399  bus_drive => bus_drive_local ((2*max_jems)+2*i_jem),
400  data_to_vme => std_logic_vector(local_backplane_overflow_counter(i_jem)(15 downto 0)));
401 
402  vme_outreg_notri_async_REG_RO_LOCAL_BACKPLANE_OVERFLOW_COUNTER_1: entity work.vme_outreg_notri_async
403  generic map (
404  ia_vme => ADDR_REG_RO_LOCAL_BACKPLANE_OVERFLOW_COUNTER+4*i_jem+2,
405  width => 16)
406  port map (
407  ncs => ncs,
408  rd_nwr => rd_nwr,
409  ds => ds,
410  addr_vme => addr_vme,
411  data_vme => data_vme_out_local((2*max_jems)+2*i_jem+1),
412  bus_drive => bus_drive_local ((2*max_jems)+2*i_jem+1),
413  data_to_vme => std_logic_vector(local_backplane_overflow_counter(i_jem)(31 downto 16)));
414 
415  end generate ov_local_gen;
416 
417 
418  or_all_ov_local_inst: entity work.or_all
419  generic map (
420  numbits => 16)
421  port map (
422  DATA => overflow_local,
424 
425  process(clk40MHz_90o)
426  begin
427  if rising_edge(clk40MHz_90o) then
430  end if;
431  end process;
432 
433  process(clk40MHz)
434  begin
435  if rising_edge(clk40MHz) then
436  if counter_reset_r_local='1' then
437  global_backplane_overflow_counter<=to_unsigned(0,33);
438  else
439  if global_backplane_overflow_counter(32)='1' then
441  else
442  if counter_inhibit_r_local /= '1' and overflow_local_any_reg='1' then
444  else
446  end if;
447  end if;
448  end if;
449  end if;
450  end process;
451 
452  vme_outreg_notri_async_REG_RO_GLOBAL_BACKPLANE_OVERFLOW_COUNTER_0: entity work.vme_outreg_notri_async
453  generic map (
454  ia_vme => ADDR_REG_RO_GLOBAL_BACKPLANE_OVERFLOW_COUNTER,
455  width => 16)
456  port map (
457  ncs => ncs,
458  rd_nwr => rd_nwr,
459  ds => ds,
460  addr_vme => addr_vme,
461  data_vme => data_vme_out_local ((4*max_jems)),
462  bus_drive => bus_drive_local ((4*max_jems)),
463  data_to_vme => std_logic_vector(global_backplane_overflow_counter(15 downto 0)));
464 
465  vme_outreg_notri_async_REG_RO_GLOBAL_BACKPLANE_OVERFLOW_COUNTER_1: entity work.vme_outreg_notri_async
466  generic map (
467  ia_vme => ADDR_REG_RO_GLOBAL_BACKPLANE_OVERFLOW_COUNTER+2,
468  width => 16)
469  port map (
470  ncs => ncs,
471  rd_nwr => rd_nwr,
472  ds => ds,
473  addr_vme => addr_vme,
474  data_vme => data_vme_out_local ((4*max_jems)+1),
475  bus_drive => bus_drive_local ((4*max_jems)+1),
476  data_to_vme => std_logic_vector(global_backplane_overflow_counter(31 downto 16)));
477 
478 
479 
481 
482  gen_layers: for i_layer in 0 to num_sort_layers-2 generate
483 
484  gen_layer_connection_no_reg: if (
485  (i_layer/=1) and
486  (i_layer/=3) and
487  (i_layer/=5) and
488  (i_layer/=7) and
489  (i_layer/=9) and
490  (i_layer/=11) and
491  (i_layer/=13) and
492  (i_layer/=15) and
493  (i_layer/=17) and
494  (i_layer/=19) ) generate
495  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
496  end generate gen_layer_connection_no_reg;
497 
498  gen_layer_connection_L1_to_L2_reg: if i_layer=1 generate
499  process(clk40MHz_m180o)
500  begin
501  if rising_edge(clk40MHz_m180o) then
502  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
503  end if;
504  end process;
505  end generate gen_layer_connection_L1_to_L2_reg;
506 
507  gen_layer_connection_L3_to_L4_reg: if i_layer=3 generate
508  process(clk40MHz_m90o)
509  begin
510  if rising_edge(clk40MHz_m90o) then
511  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
512  end if;
513  end process;
514  end generate gen_layer_connection_L3_to_L4_reg;
515 
516  gen_layer_connection_L5_to_L6_reg: if i_layer=5 generate
517  process(clk40MHz)
518  begin
519  if rising_edge(clk40MHz) then
520  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
521  end if;
522  end process;
523  end generate gen_layer_connection_L5_to_L6_reg;
524 
525  gen_layer_connection_L7_to_L8_reg: if i_layer=7 generate
526  process(clk40MHz_90o)
527  begin
528  if rising_edge(clk40MHz_90o) then
529  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
530  end if;
531  end process;
532  end generate gen_layer_connection_L7_to_L8_reg;
533 
534  gen_layer_connection_L9_to_L10_reg: if i_layer=9 generate
535  process(clk40MHz_m180o)
536  begin
537  if rising_edge(clk40MHz_m180o) then
538  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
539  end if;
540  end process;
541  end generate gen_layer_connection_L9_to_L10_reg;
542 
543  gen_layer_connection_L11_to_L12_reg: if i_layer=11 generate
544  process(clk40MHz_m90o)
545  begin
546  if rising_edge(clk40MHz_m90o) then
547  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
548  end if;
549  end process;
550  end generate gen_layer_connection_L11_to_L12_reg;
551 
552  gen_layer_connection_L13_to_L14_reg: if i_layer=13 generate
553  process(clk40MHz)
554  begin
555  if rising_edge(clk40MHz) then
556  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
557  end if;
558  end process;
559  end generate gen_layer_connection_L13_to_L14_reg;
560 
561  gen_layer_connection_L15_to_L16_reg: if i_layer=15 generate
562  process(clk40MHz_90o)
563  begin
564  if rising_edge(clk40MHz_90o) then
565  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
566  end if;
567  end process;
568  end generate gen_layer_connection_L15_to_L16_reg;
569 
570  gen_layer_connection_L17_to_L18_reg: if i_layer=17 generate
571  process(clk40MHz_m180o)
572  begin
573  if rising_edge(clk40MHz_m180o) then
574  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
575  end if;
576  end process;
577  end generate gen_layer_connection_L17_to_L18_reg;
578 
579  gen_layer_connection_L19_to_L20_reg: if i_layer=19 generate
580  process(clk40MHz_m90o)
581  begin
582  if rising_edge(clk40MHz_m90o) then
583  TobLayerIn(i_layer+1)<=TobLayerOut(i_layer);
584  end if;
585  end process;
586  end generate gen_layer_connection_L19_to_L20_reg;
587 
588 
589  end generate gen_layers;
590 
591  process(clk40MHz)
592  begin
593  if rising_edge(clk40MHz) then
594  --TOBs_output<=TobLayerOut(20);
596  BCID_rr<=BCID_r;
597  BCID_r<=BCID_in;
598  end if;
599  end process;
600 
601  output_copy_gen: for i_copy in 0 to num_copies-1 generate
602  process(clk40MHz)
603  begin
604  if rising_edge(clk40MHz) then
605  Tobs_to_TOPO(i_copy)<=TobLayerOut(20)(max_tobs_topo-1 downto 0);
607  end if;
608  end process;
609  end generate output_copy_gen;
610 
611 
612  overflow_copy_gen: for i_copy in 0 to num_copies-1 generate
613  process(clk40MHz_90o)
614  begin
615  if rising_edge(clk40MHz_90o) then
616  if Et2Et1_overflowing_TOB(i_copy)/="0000000000000000000" or overflow_local_any_reg/='0' then
617  overflow_sig(i_copy)<='1';
618  else
619  overflow_sig(i_copy)<='0';
620  end if;
621  end if;
622  end process;
623  end generate overflow_copy_gen;
625 
626 
627  process(clk40MHz)
628  begin
629  if rising_edge(clk40MHz) then
630  if counter_reset_r_local='1' then
631  total_overflow_counter<=to_unsigned(0,33);
632  else
633  if total_overflow_counter(32)='1' then
635  else
636  if counter_inhibit_r_local /= '1' and overflow_sig(0)='1' then
638  else
640  end if;
641  end if;
642  end if;
643  end if;
644  end process;
645 
646  vme_outreg_notri_async_REG_RO_TOTAL_OVERFLOW_COUNTER_0: entity work.vme_outreg_notri_async
647  generic map (
648  ia_vme => ADDR_REG_RO_TOTAL_OVERFLOW_COUNTER ,
649  width => 16)
650  port map (
651  ncs => ncs,
652  rd_nwr => rd_nwr,
653  ds => ds,
654  addr_vme => addr_vme,
655  data_vme => data_vme_out_local ((4*max_jems)+2),
656  bus_drive => bus_drive_local ((4*max_jems)+2),
657  data_to_vme => std_logic_vector(total_overflow_counter(15 downto 0)));
658 
659  vme_outreg_notri_async_REG_RO_TOTAL_OVERFLOW_COUNTER_1: entity work.vme_outreg_notri_async
660  generic map (
661  ia_vme => ADDR_REG_RO_TOTAL_OVERFLOW_COUNTER+2 ,
662  width => 16)
663  port map (
664  ncs => ncs,
665  rd_nwr => rd_nwr,
666  ds => ds,
667  addr_vme => addr_vme,
668  data_vme => data_vme_out_local ((4*max_jems)+3),
669  bus_drive => bus_drive_local ((4*max_jems)+3),
670  data_to_vme => std_logic_vector(total_overflow_counter(31 downto 16)));
671 
672 
673 
674 
675  --chipscope_icon_u2_c1_inst: entity work.chipscope_icon_u2_c1
676  -- port map (
677  -- CONTROL0 => CONTROL);
678  --
679  --
680  --chipscope_ila_jet_decoder_inst: entity work.chipscope_ila_jet_decoder
681  -- port map (
682  -- CONTROL => CONTROL,
683  -- CLK => clk40MHz,
684  -- DATA => DATA_ila_jet_decoder,
685  -- TRIG0 => TRIG0_ila_jet_decoder);
686  --
687  --
688  --TRIG0_ila_jet_decoder(0)<=overflow_local_any;
689  --
690  --
691  --DATA_ila_jet_decoder(95 downto 0)<=datai(0);
692  --DATA_ila_jet_decoder(191 downto 96)<=datai(1);
693  --
694  --DATA_ila_jet_decoder(195 downto 192)<=ntobs(0);
695  --DATA_ila_jet_decoder(199 downto 196)<=ntobs(1);
696  --
697  --DATA_ila_jet_decoder(215 downto 200)<=overflow_local;
698  --
699  --DATA_ila_jet_decoder(216)<=overflow_local_any;
700  --
701  --DATA_ila_jet_decoder(217)<='0';
702  --
703  --cs_tob_gen: for i_tob in 0 to 15 generate
704  -- DATA_ila_jet_decoder(218 + (10*(i_tob+1)-1) downto 218 + 10*i_tob)<=TOBs_output(i_tob).Et2;
705  --end generate cs_tob_gen;
706 
707 
708  --paste from auto code generation
709 
710 
711  compExch_Layer_00_to_01_sites_00_01: compExch port map(A =>TobLayerIn(0)(0), B =>TobLayerIn(0)(1), H =>TobLayerOut(0)(0), L =>TobLayerOut(0)(1));
712 
713 
714 
715  compExch_Layer_00_to_01_sites_02_03: compExch port map(A =>TobLayerIn(0)(2), B =>TobLayerIn(0)(3), H =>TobLayerOut(0)(2), L =>TobLayerOut(0)(3));
716 
717 
718 
719  compExch_Layer_00_to_01_sites_04_05: compExch port map(A =>TobLayerIn(0)(4), B =>TobLayerIn(0)(5), H =>TobLayerOut(0)(4), L =>TobLayerOut(0)(5));
720 
721 
722 
723  compExch_Layer_00_to_01_sites_06_07: compExch port map(A =>TobLayerIn(0)(6), B =>TobLayerIn(0)(7), H =>TobLayerOut(0)(6), L =>TobLayerOut(0)(7));
724 
725 
726 
727  compExch_Layer_00_to_01_sites_08_09: compExch port map(A =>TobLayerIn(0)(8), B =>TobLayerIn(0)(9), H =>TobLayerOut(0)(8), L =>TobLayerOut(0)(9));
728 
729 
730 
731  compExch_Layer_00_to_01_sites_10_11: compExch port map(A =>TobLayerIn(0)(10), B =>TobLayerIn(0)(11), H =>TobLayerOut(0)(10), L =>TobLayerOut(0)(11));
732 
733 
734 
735  compExch_Layer_00_to_01_sites_12_13: compExch port map(A =>TobLayerIn(0)(12), B =>TobLayerIn(0)(13), H =>TobLayerOut(0)(12), L =>TobLayerOut(0)(13));
736 
737 
738 
739  compExch_Layer_00_to_01_sites_14_15: compExch port map(A =>TobLayerIn(0)(14), B =>TobLayerIn(0)(15), H =>TobLayerOut(0)(14), L =>TobLayerOut(0)(15));
740 
741 
742 
743  compExch_Layer_00_to_01_sites_16_17: compExch port map(A =>TobLayerIn(0)(16), B =>TobLayerIn(0)(17), H =>TobLayerOut(0)(16), L =>TobLayerOut(0)(17));
744 
745 
746 
747  compExch_Layer_00_to_01_sites_18_19: compExch port map(A =>TobLayerIn(0)(18), B =>TobLayerIn(0)(19), H =>TobLayerOut(0)(18), L =>TobLayerOut(0)(19));
748 
749 
750 
751  compExch_Layer_00_to_01_sites_20_21: compExch port map(A =>TobLayerIn(0)(20), B =>TobLayerIn(0)(21), H =>TobLayerOut(0)(20), L =>TobLayerOut(0)(21));
752 
753 
754 
755  compExch_Layer_00_to_01_sites_22_23: compExch port map(A =>TobLayerIn(0)(22), B =>TobLayerIn(0)(23), H =>TobLayerOut(0)(22), L =>TobLayerOut(0)(23));
756 
757 
758 
759  compExch_Layer_00_to_01_sites_24_25: compExch port map(A =>TobLayerIn(0)(24), B =>TobLayerIn(0)(25), H =>TobLayerOut(0)(24), L =>TobLayerOut(0)(25));
760 
761 
762 
763  compExch_Layer_00_to_01_sites_26_27: compExch port map(A =>TobLayerIn(0)(26), B =>TobLayerIn(0)(27), H =>TobLayerOut(0)(26), L =>TobLayerOut(0)(27));
764 
765 
766 
767  compExch_Layer_00_to_01_sites_28_29: compExch port map(A =>TobLayerIn(0)(28), B =>TobLayerIn(0)(29), H =>TobLayerOut(0)(28), L =>TobLayerOut(0)(29));
768 
769 
770 
771  compExch_Layer_00_to_01_sites_30_31: compExch port map(A =>TobLayerIn(0)(30), B =>TobLayerIn(0)(31), H =>TobLayerOut(0)(30), L =>TobLayerOut(0)(31));
772 
773 
774 
775  compExch_Layer_00_to_01_sites_32_33: compExch port map(A =>TobLayerIn(0)(32), B =>TobLayerIn(0)(33), H =>TobLayerOut(0)(32), L =>TobLayerOut(0)(33));
776 
777 
778 
779  compExch_Layer_00_to_01_sites_34_35: compExch port map(A =>TobLayerIn(0)(34), B =>TobLayerIn(0)(35), H =>TobLayerOut(0)(34), L =>TobLayerOut(0)(35));
780 
781 
782 
783  compExch_Layer_00_to_01_sites_36_37: compExch port map(A =>TobLayerIn(0)(36), B =>TobLayerIn(0)(37), H =>TobLayerOut(0)(36), L =>TobLayerOut(0)(37));
784 
785 
786 
787  compExch_Layer_00_to_01_sites_38_39: compExch port map(A =>TobLayerIn(0)(38), B =>TobLayerIn(0)(39), H =>TobLayerOut(0)(38), L =>TobLayerOut(0)(39));
788 
789 
790 
791  compExch_Layer_00_to_01_sites_40_41: compExch port map(A =>TobLayerIn(0)(40), B =>TobLayerIn(0)(41), H =>TobLayerOut(0)(40), L =>TobLayerOut(0)(41));
792 
793 
794 
795  compExch_Layer_00_to_01_sites_42_43: compExch port map(A =>TobLayerIn(0)(42), B =>TobLayerIn(0)(43), H =>TobLayerOut(0)(42), L =>TobLayerOut(0)(43));
796 
797 
798 
799  compExch_Layer_00_to_01_sites_44_45: compExch port map(A =>TobLayerIn(0)(44), B =>TobLayerIn(0)(45), H =>TobLayerOut(0)(44), L =>TobLayerOut(0)(45));
800 
801 
802 
803  compExch_Layer_00_to_01_sites_46_47: compExch port map(A =>TobLayerIn(0)(46), B =>TobLayerIn(0)(47), H =>TobLayerOut(0)(46), L =>TobLayerOut(0)(47));
804 
805 
806 
807  compExch_Layer_00_to_01_sites_48_49: compExch port map(A =>TobLayerIn(0)(48), B =>TobLayerIn(0)(49), H =>TobLayerOut(0)(48), L =>TobLayerOut(0)(49));
808 
809 
810 
811  compExch_Layer_00_to_01_sites_50_51: compExch port map(A =>TobLayerIn(0)(50), B =>TobLayerIn(0)(51), H =>TobLayerOut(0)(50), L =>TobLayerOut(0)(51));
812 
813 
814 
815  compExch_Layer_00_to_01_sites_52_53: compExch port map(A =>TobLayerIn(0)(52), B =>TobLayerIn(0)(53), H =>TobLayerOut(0)(52), L =>TobLayerOut(0)(53));
816 
817 
818 
819  compExch_Layer_00_to_01_sites_54_55: compExch port map(A =>TobLayerIn(0)(54), B =>TobLayerIn(0)(55), H =>TobLayerOut(0)(54), L =>TobLayerOut(0)(55));
820 
821 
822 
823  compExch_Layer_00_to_01_sites_56_57: compExch port map(A =>TobLayerIn(0)(56), B =>TobLayerIn(0)(57), H =>TobLayerOut(0)(56), L =>TobLayerOut(0)(57));
824 
825 
826 
827  compExch_Layer_00_to_01_sites_58_59: compExch port map(A =>TobLayerIn(0)(58), B =>TobLayerIn(0)(59), H =>TobLayerOut(0)(58), L =>TobLayerOut(0)(59));
828 
829 
830 
831  compExch_Layer_00_to_01_sites_60_61: compExch port map(A =>TobLayerIn(0)(60), B =>TobLayerIn(0)(61), H =>TobLayerOut(0)(60), L =>TobLayerOut(0)(61));
832 
833 
834 
835  compExch_Layer_00_to_01_sites_62_63: compExch port map(A =>TobLayerIn(0)(62), B =>TobLayerIn(0)(63), H =>TobLayerOut(0)(62), L =>TobLayerOut(0)(63));
836 
837 
838 
839  compExch_Layer_01_to_02_sites_00_02: compExch port map(A =>TobLayerIn(1)(0), B =>TobLayerIn(1)(2), H =>TobLayerOut(1)(0), L =>TobLayerOut(1)(2));
840  compExch_Layer_01_to_02_sites_01_03: compExch port map(A =>TobLayerIn(1)(1), B =>TobLayerIn(1)(3), H =>TobLayerOut(1)(1), L =>TobLayerOut(1)(3));
841 
842 
843 
844  compExch_Layer_02_to_03_sites_01_02: compExch port map(A =>TobLayerIn(2)(1), B =>TobLayerIn(2)(2), H =>TobLayerOut(2)(1), L =>TobLayerOut(2)(2));
845 
846  TobLayerOut(2)(0)<=TobLayerIn(2)(0);
847  TobLayerOut(2)(3)<=TobLayerIn(2)(3);
848 
849 
850  compExch_Layer_01_to_02_sites_04_06: compExch port map(A =>TobLayerIn(1)(4), B =>TobLayerIn(1)(6), H =>TobLayerOut(1)(4), L =>TobLayerOut(1)(6));
851  compExch_Layer_01_to_02_sites_05_07: compExch port map(A =>TobLayerIn(1)(5), B =>TobLayerIn(1)(7), H =>TobLayerOut(1)(5), L =>TobLayerOut(1)(7));
852 
853 
854 
855  compExch_Layer_02_to_03_sites_05_06: compExch port map(A =>TobLayerIn(2)(5), B =>TobLayerIn(2)(6), H =>TobLayerOut(2)(5), L =>TobLayerOut(2)(6));
856 
857  TobLayerOut(2)(4)<=TobLayerIn(2)(4);
858  TobLayerOut(2)(7)<=TobLayerIn(2)(7);
859 
860 
861  compExch_Layer_01_to_02_sites_08_10: compExch port map(A =>TobLayerIn(1)(8), B =>TobLayerIn(1)(10), H =>TobLayerOut(1)(8), L =>TobLayerOut(1)(10));
862  compExch_Layer_01_to_02_sites_09_11: compExch port map(A =>TobLayerIn(1)(9), B =>TobLayerIn(1)(11), H =>TobLayerOut(1)(9), L =>TobLayerOut(1)(11));
863 
864 
865 
866  compExch_Layer_02_to_03_sites_09_10: compExch port map(A =>TobLayerIn(2)(9), B =>TobLayerIn(2)(10), H =>TobLayerOut(2)(9), L =>TobLayerOut(2)(10));
867 
868  TobLayerOut(2)(8)<=TobLayerIn(2)(8);
869  TobLayerOut(2)(11)<=TobLayerIn(2)(11);
870 
871 
872  compExch_Layer_01_to_02_sites_12_14: compExch port map(A =>TobLayerIn(1)(12), B =>TobLayerIn(1)(14), H =>TobLayerOut(1)(12), L =>TobLayerOut(1)(14));
873  compExch_Layer_01_to_02_sites_13_15: compExch port map(A =>TobLayerIn(1)(13), B =>TobLayerIn(1)(15), H =>TobLayerOut(1)(13), L =>TobLayerOut(1)(15));
874 
875 
876 
877  compExch_Layer_02_to_03_sites_13_14: compExch port map(A =>TobLayerIn(2)(13), B =>TobLayerIn(2)(14), H =>TobLayerOut(2)(13), L =>TobLayerOut(2)(14));
878 
879  TobLayerOut(2)(12)<=TobLayerIn(2)(12);
880  TobLayerOut(2)(15)<=TobLayerIn(2)(15);
881 
882 
883  compExch_Layer_01_to_02_sites_16_18: compExch port map(A =>TobLayerIn(1)(16), B =>TobLayerIn(1)(18), H =>TobLayerOut(1)(16), L =>TobLayerOut(1)(18));
884  compExch_Layer_01_to_02_sites_17_19: compExch port map(A =>TobLayerIn(1)(17), B =>TobLayerIn(1)(19), H =>TobLayerOut(1)(17), L =>TobLayerOut(1)(19));
885 
886 
887 
888  compExch_Layer_02_to_03_sites_17_18: compExch port map(A =>TobLayerIn(2)(17), B =>TobLayerIn(2)(18), H =>TobLayerOut(2)(17), L =>TobLayerOut(2)(18));
889 
890  TobLayerOut(2)(16)<=TobLayerIn(2)(16);
891  TobLayerOut(2)(19)<=TobLayerIn(2)(19);
892 
893 
894  compExch_Layer_01_to_02_sites_20_22: compExch port map(A =>TobLayerIn(1)(20), B =>TobLayerIn(1)(22), H =>TobLayerOut(1)(20), L =>TobLayerOut(1)(22));
895  compExch_Layer_01_to_02_sites_21_23: compExch port map(A =>TobLayerIn(1)(21), B =>TobLayerIn(1)(23), H =>TobLayerOut(1)(21), L =>TobLayerOut(1)(23));
896 
897 
898 
899  compExch_Layer_02_to_03_sites_21_22: compExch port map(A =>TobLayerIn(2)(21), B =>TobLayerIn(2)(22), H =>TobLayerOut(2)(21), L =>TobLayerOut(2)(22));
900 
901  TobLayerOut(2)(20)<=TobLayerIn(2)(20);
902  TobLayerOut(2)(23)<=TobLayerIn(2)(23);
903 
904 
905  compExch_Layer_01_to_02_sites_24_26: compExch port map(A =>TobLayerIn(1)(24), B =>TobLayerIn(1)(26), H =>TobLayerOut(1)(24), L =>TobLayerOut(1)(26));
906  compExch_Layer_01_to_02_sites_25_27: compExch port map(A =>TobLayerIn(1)(25), B =>TobLayerIn(1)(27), H =>TobLayerOut(1)(25), L =>TobLayerOut(1)(27));
907 
908 
909 
910  compExch_Layer_02_to_03_sites_25_26: compExch port map(A =>TobLayerIn(2)(25), B =>TobLayerIn(2)(26), H =>TobLayerOut(2)(25), L =>TobLayerOut(2)(26));
911 
912  TobLayerOut(2)(24)<=TobLayerIn(2)(24);
913  TobLayerOut(2)(27)<=TobLayerIn(2)(27);
914 
915 
916  compExch_Layer_01_to_02_sites_28_30: compExch port map(A =>TobLayerIn(1)(28), B =>TobLayerIn(1)(30), H =>TobLayerOut(1)(28), L =>TobLayerOut(1)(30));
917  compExch_Layer_01_to_02_sites_29_31: compExch port map(A =>TobLayerIn(1)(29), B =>TobLayerIn(1)(31), H =>TobLayerOut(1)(29), L =>TobLayerOut(1)(31));
918 
919 
920 
921  compExch_Layer_02_to_03_sites_29_30: compExch port map(A =>TobLayerIn(2)(29), B =>TobLayerIn(2)(30), H =>TobLayerOut(2)(29), L =>TobLayerOut(2)(30));
922 
923  TobLayerOut(2)(28)<=TobLayerIn(2)(28);
924  TobLayerOut(2)(31)<=TobLayerIn(2)(31);
925 
926 
927  compExch_Layer_01_to_02_sites_32_34: compExch port map(A =>TobLayerIn(1)(32), B =>TobLayerIn(1)(34), H =>TobLayerOut(1)(32), L =>TobLayerOut(1)(34));
928  compExch_Layer_01_to_02_sites_33_35: compExch port map(A =>TobLayerIn(1)(33), B =>TobLayerIn(1)(35), H =>TobLayerOut(1)(33), L =>TobLayerOut(1)(35));
929 
930 
931 
932  compExch_Layer_02_to_03_sites_33_34: compExch port map(A =>TobLayerIn(2)(33), B =>TobLayerIn(2)(34), H =>TobLayerOut(2)(33), L =>TobLayerOut(2)(34));
933 
934  TobLayerOut(2)(32)<=TobLayerIn(2)(32);
935  TobLayerOut(2)(35)<=TobLayerIn(2)(35);
936 
937 
938  compExch_Layer_01_to_02_sites_36_38: compExch port map(A =>TobLayerIn(1)(36), B =>TobLayerIn(1)(38), H =>TobLayerOut(1)(36), L =>TobLayerOut(1)(38));
939  compExch_Layer_01_to_02_sites_37_39: compExch port map(A =>TobLayerIn(1)(37), B =>TobLayerIn(1)(39), H =>TobLayerOut(1)(37), L =>TobLayerOut(1)(39));
940 
941 
942 
943  compExch_Layer_02_to_03_sites_37_38: compExch port map(A =>TobLayerIn(2)(37), B =>TobLayerIn(2)(38), H =>TobLayerOut(2)(37), L =>TobLayerOut(2)(38));
944 
945  TobLayerOut(2)(36)<=TobLayerIn(2)(36);
946  TobLayerOut(2)(39)<=TobLayerIn(2)(39);
947 
948 
949  compExch_Layer_01_to_02_sites_40_42: compExch port map(A =>TobLayerIn(1)(40), B =>TobLayerIn(1)(42), H =>TobLayerOut(1)(40), L =>TobLayerOut(1)(42));
950  compExch_Layer_01_to_02_sites_41_43: compExch port map(A =>TobLayerIn(1)(41), B =>TobLayerIn(1)(43), H =>TobLayerOut(1)(41), L =>TobLayerOut(1)(43));
951 
952 
953 
954  compExch_Layer_02_to_03_sites_41_42: compExch port map(A =>TobLayerIn(2)(41), B =>TobLayerIn(2)(42), H =>TobLayerOut(2)(41), L =>TobLayerOut(2)(42));
955 
956  TobLayerOut(2)(40)<=TobLayerIn(2)(40);
957  TobLayerOut(2)(43)<=TobLayerIn(2)(43);
958 
959 
960  compExch_Layer_01_to_02_sites_44_46: compExch port map(A =>TobLayerIn(1)(44), B =>TobLayerIn(1)(46), H =>TobLayerOut(1)(44), L =>TobLayerOut(1)(46));
961  compExch_Layer_01_to_02_sites_45_47: compExch port map(A =>TobLayerIn(1)(45), B =>TobLayerIn(1)(47), H =>TobLayerOut(1)(45), L =>TobLayerOut(1)(47));
962 
963 
964 
965  compExch_Layer_02_to_03_sites_45_46: compExch port map(A =>TobLayerIn(2)(45), B =>TobLayerIn(2)(46), H =>TobLayerOut(2)(45), L =>TobLayerOut(2)(46));
966 
967  TobLayerOut(2)(44)<=TobLayerIn(2)(44);
968  TobLayerOut(2)(47)<=TobLayerIn(2)(47);
969 
970 
971  compExch_Layer_01_to_02_sites_48_50: compExch port map(A =>TobLayerIn(1)(48), B =>TobLayerIn(1)(50), H =>TobLayerOut(1)(48), L =>TobLayerOut(1)(50));
972  compExch_Layer_01_to_02_sites_49_51: compExch port map(A =>TobLayerIn(1)(49), B =>TobLayerIn(1)(51), H =>TobLayerOut(1)(49), L =>TobLayerOut(1)(51));
973 
974 
975 
976  compExch_Layer_02_to_03_sites_49_50: compExch port map(A =>TobLayerIn(2)(49), B =>TobLayerIn(2)(50), H =>TobLayerOut(2)(49), L =>TobLayerOut(2)(50));
977 
978  TobLayerOut(2)(48)<=TobLayerIn(2)(48);
979  TobLayerOut(2)(51)<=TobLayerIn(2)(51);
980 
981 
982  compExch_Layer_01_to_02_sites_52_54: compExch port map(A =>TobLayerIn(1)(52), B =>TobLayerIn(1)(54), H =>TobLayerOut(1)(52), L =>TobLayerOut(1)(54));
983  compExch_Layer_01_to_02_sites_53_55: compExch port map(A =>TobLayerIn(1)(53), B =>TobLayerIn(1)(55), H =>TobLayerOut(1)(53), L =>TobLayerOut(1)(55));
984 
985 
986 
987  compExch_Layer_02_to_03_sites_53_54: compExch port map(A =>TobLayerIn(2)(53), B =>TobLayerIn(2)(54), H =>TobLayerOut(2)(53), L =>TobLayerOut(2)(54));
988 
989  TobLayerOut(2)(52)<=TobLayerIn(2)(52);
990  TobLayerOut(2)(55)<=TobLayerIn(2)(55);
991 
992 
993  compExch_Layer_01_to_02_sites_56_58: compExch port map(A =>TobLayerIn(1)(56), B =>TobLayerIn(1)(58), H =>TobLayerOut(1)(56), L =>TobLayerOut(1)(58));
994  compExch_Layer_01_to_02_sites_57_59: compExch port map(A =>TobLayerIn(1)(57), B =>TobLayerIn(1)(59), H =>TobLayerOut(1)(57), L =>TobLayerOut(1)(59));
995 
996 
997 
998  compExch_Layer_02_to_03_sites_57_58: compExch port map(A =>TobLayerIn(2)(57), B =>TobLayerIn(2)(58), H =>TobLayerOut(2)(57), L =>TobLayerOut(2)(58));
999 
1000  TobLayerOut(2)(56)<=TobLayerIn(2)(56);
1001  TobLayerOut(2)(59)<=TobLayerIn(2)(59);
1002 
1003 
1004  compExch_Layer_01_to_02_sites_60_62: compExch port map(A =>TobLayerIn(1)(60), B =>TobLayerIn(1)(62), H =>TobLayerOut(1)(60), L =>TobLayerOut(1)(62));
1005  compExch_Layer_01_to_02_sites_61_63: compExch port map(A =>TobLayerIn(1)(61), B =>TobLayerIn(1)(63), H =>TobLayerOut(1)(61), L =>TobLayerOut(1)(63));
1006 
1007 
1008 
1009  compExch_Layer_02_to_03_sites_61_62: compExch port map(A =>TobLayerIn(2)(61), B =>TobLayerIn(2)(62), H =>TobLayerOut(2)(61), L =>TobLayerOut(2)(62));
1010 
1011  TobLayerOut(2)(60)<=TobLayerIn(2)(60);
1012  TobLayerOut(2)(63)<=TobLayerIn(2)(63);
1013 
1014 
1015  compExch_Layer_03_to_04_sites_00_04: compExch port map(A =>TobLayerIn(3)(0), B =>TobLayerIn(3)(4), H =>TobLayerOut(3)(0), L =>TobLayerOut(3)(4));
1016  compExch_Layer_03_to_04_sites_01_05: compExch port map(A =>TobLayerIn(3)(1), B =>TobLayerIn(3)(5), H =>TobLayerOut(3)(1), L =>TobLayerOut(3)(5));
1017  compExch_Layer_03_to_04_sites_02_06: compExch port map(A =>TobLayerIn(3)(2), B =>TobLayerIn(3)(6), H =>TobLayerOut(3)(2), L =>TobLayerOut(3)(6));
1018  compExch_Layer_03_to_04_sites_03_07: compExch port map(A =>TobLayerIn(3)(3), B =>TobLayerIn(3)(7), H =>TobLayerOut(3)(3), L =>TobLayerOut(3)(7));
1019 
1020 
1021 
1022  compExch_Layer_04_to_05_sites_02_04: compExch port map(A =>TobLayerIn(4)(2), B =>TobLayerIn(4)(4), H =>TobLayerOut(4)(2), L =>TobLayerOut(4)(4));
1023  compExch_Layer_04_to_05_sites_03_05: compExch port map(A =>TobLayerIn(4)(3), B =>TobLayerIn(4)(5), H =>TobLayerOut(4)(3), L =>TobLayerOut(4)(5));
1024 
1025  TobLayerOut(4)(0)<=TobLayerIn(4)(0);
1026  TobLayerOut(4)(1)<=TobLayerIn(4)(1);
1027  TobLayerOut(4)(6)<=TobLayerIn(4)(6);
1028  TobLayerOut(4)(7)<=TobLayerIn(4)(7);
1029 
1030 
1031  compExch_Layer_05_to_06_sites_01_02: compExch port map(A =>TobLayerIn(5)(1), B =>TobLayerIn(5)(2), H =>TobLayerOut(5)(1), L =>TobLayerOut(5)(2));
1032  compExch_Layer_05_to_06_sites_03_04: compExch port map(A =>TobLayerIn(5)(3), B =>TobLayerIn(5)(4), H =>TobLayerOut(5)(3), L =>TobLayerOut(5)(4));
1033  compExch_Layer_05_to_06_sites_05_06: compExch port map(A =>TobLayerIn(5)(5), B =>TobLayerIn(5)(6), H =>TobLayerOut(5)(5), L =>TobLayerOut(5)(6));
1034 
1035  TobLayerOut(5)(0)<=TobLayerIn(5)(0);
1036  TobLayerOut(5)(7)<=TobLayerIn(5)(7);
1037 
1038 
1039  compExch_Layer_03_to_04_sites_08_12: compExch port map(A =>TobLayerIn(3)(8), B =>TobLayerIn(3)(12), H =>TobLayerOut(3)(8), L =>TobLayerOut(3)(12));
1040  compExch_Layer_03_to_04_sites_09_13: compExch port map(A =>TobLayerIn(3)(9), B =>TobLayerIn(3)(13), H =>TobLayerOut(3)(9), L =>TobLayerOut(3)(13));
1041  compExch_Layer_03_to_04_sites_10_14: compExch port map(A =>TobLayerIn(3)(10), B =>TobLayerIn(3)(14), H =>TobLayerOut(3)(10), L =>TobLayerOut(3)(14));
1042  compExch_Layer_03_to_04_sites_11_15: compExch port map(A =>TobLayerIn(3)(11), B =>TobLayerIn(3)(15), H =>TobLayerOut(3)(11), L =>TobLayerOut(3)(15));
1043 
1044 
1045 
1046  compExch_Layer_04_to_05_sites_10_12: compExch port map(A =>TobLayerIn(4)(10), B =>TobLayerIn(4)(12), H =>TobLayerOut(4)(10), L =>TobLayerOut(4)(12));
1047  compExch_Layer_04_to_05_sites_11_13: compExch port map(A =>TobLayerIn(4)(11), B =>TobLayerIn(4)(13), H =>TobLayerOut(4)(11), L =>TobLayerOut(4)(13));
1048 
1049  TobLayerOut(4)(8)<=TobLayerIn(4)(8);
1050  TobLayerOut(4)(9)<=TobLayerIn(4)(9);
1051  TobLayerOut(4)(14)<=TobLayerIn(4)(14);
1052  TobLayerOut(4)(15)<=TobLayerIn(4)(15);
1053 
1054 
1055  compExch_Layer_05_to_06_sites_09_10: compExch port map(A =>TobLayerIn(5)(9), B =>TobLayerIn(5)(10), H =>TobLayerOut(5)(9), L =>TobLayerOut(5)(10));
1056  compExch_Layer_05_to_06_sites_11_12: compExch port map(A =>TobLayerIn(5)(11), B =>TobLayerIn(5)(12), H =>TobLayerOut(5)(11), L =>TobLayerOut(5)(12));
1057  compExch_Layer_05_to_06_sites_13_14: compExch port map(A =>TobLayerIn(5)(13), B =>TobLayerIn(5)(14), H =>TobLayerOut(5)(13), L =>TobLayerOut(5)(14));
1058 
1059  TobLayerOut(5)(8)<=TobLayerIn(5)(8);
1060  TobLayerOut(5)(15)<=TobLayerIn(5)(15);
1061 
1062 
1063  compExch_Layer_03_to_04_sites_16_20: compExch port map(A =>TobLayerIn(3)(16), B =>TobLayerIn(3)(20), H =>TobLayerOut(3)(16), L =>TobLayerOut(3)(20));
1064  compExch_Layer_03_to_04_sites_17_21: compExch port map(A =>TobLayerIn(3)(17), B =>TobLayerIn(3)(21), H =>TobLayerOut(3)(17), L =>TobLayerOut(3)(21));
1065  compExch_Layer_03_to_04_sites_18_22: compExch port map(A =>TobLayerIn(3)(18), B =>TobLayerIn(3)(22), H =>TobLayerOut(3)(18), L =>TobLayerOut(3)(22));
1066  compExch_Layer_03_to_04_sites_19_23: compExch port map(A =>TobLayerIn(3)(19), B =>TobLayerIn(3)(23), H =>TobLayerOut(3)(19), L =>TobLayerOut(3)(23));
1067 
1068 
1069 
1070  compExch_Layer_04_to_05_sites_18_20: compExch port map(A =>TobLayerIn(4)(18), B =>TobLayerIn(4)(20), H =>TobLayerOut(4)(18), L =>TobLayerOut(4)(20));
1071  compExch_Layer_04_to_05_sites_19_21: compExch port map(A =>TobLayerIn(4)(19), B =>TobLayerIn(4)(21), H =>TobLayerOut(4)(19), L =>TobLayerOut(4)(21));
1072 
1073  TobLayerOut(4)(16)<=TobLayerIn(4)(16);
1074  TobLayerOut(4)(17)<=TobLayerIn(4)(17);
1075  TobLayerOut(4)(22)<=TobLayerIn(4)(22);
1076  TobLayerOut(4)(23)<=TobLayerIn(4)(23);
1077 
1078 
1079  compExch_Layer_05_to_06_sites_17_18: compExch port map(A =>TobLayerIn(5)(17), B =>TobLayerIn(5)(18), H =>TobLayerOut(5)(17), L =>TobLayerOut(5)(18));
1080  compExch_Layer_05_to_06_sites_19_20: compExch port map(A =>TobLayerIn(5)(19), B =>TobLayerIn(5)(20), H =>TobLayerOut(5)(19), L =>TobLayerOut(5)(20));
1081  compExch_Layer_05_to_06_sites_21_22: compExch port map(A =>TobLayerIn(5)(21), B =>TobLayerIn(5)(22), H =>TobLayerOut(5)(21), L =>TobLayerOut(5)(22));
1082 
1083  TobLayerOut(5)(16)<=TobLayerIn(5)(16);
1084  TobLayerOut(5)(23)<=TobLayerIn(5)(23);
1085 
1086 
1087  compExch_Layer_03_to_04_sites_24_28: compExch port map(A =>TobLayerIn(3)(24), B =>TobLayerIn(3)(28), H =>TobLayerOut(3)(24), L =>TobLayerOut(3)(28));
1088  compExch_Layer_03_to_04_sites_25_29: compExch port map(A =>TobLayerIn(3)(25), B =>TobLayerIn(3)(29), H =>TobLayerOut(3)(25), L =>TobLayerOut(3)(29));
1089  compExch_Layer_03_to_04_sites_26_30: compExch port map(A =>TobLayerIn(3)(26), B =>TobLayerIn(3)(30), H =>TobLayerOut(3)(26), L =>TobLayerOut(3)(30));
1090  compExch_Layer_03_to_04_sites_27_31: compExch port map(A =>TobLayerIn(3)(27), B =>TobLayerIn(3)(31), H =>TobLayerOut(3)(27), L =>TobLayerOut(3)(31));
1091 
1092 
1093 
1094  compExch_Layer_04_to_05_sites_26_28: compExch port map(A =>TobLayerIn(4)(26), B =>TobLayerIn(4)(28), H =>TobLayerOut(4)(26), L =>TobLayerOut(4)(28));
1095  compExch_Layer_04_to_05_sites_27_29: compExch port map(A =>TobLayerIn(4)(27), B =>TobLayerIn(4)(29), H =>TobLayerOut(4)(27), L =>TobLayerOut(4)(29));
1096 
1097  TobLayerOut(4)(24)<=TobLayerIn(4)(24);
1098  TobLayerOut(4)(25)<=TobLayerIn(4)(25);
1099  TobLayerOut(4)(30)<=TobLayerIn(4)(30);
1100  TobLayerOut(4)(31)<=TobLayerIn(4)(31);
1101 
1102 
1103  compExch_Layer_05_to_06_sites_25_26: compExch port map(A =>TobLayerIn(5)(25), B =>TobLayerIn(5)(26), H =>TobLayerOut(5)(25), L =>TobLayerOut(5)(26));
1104  compExch_Layer_05_to_06_sites_27_28: compExch port map(A =>TobLayerIn(5)(27), B =>TobLayerIn(5)(28), H =>TobLayerOut(5)(27), L =>TobLayerOut(5)(28));
1105  compExch_Layer_05_to_06_sites_29_30: compExch port map(A =>TobLayerIn(5)(29), B =>TobLayerIn(5)(30), H =>TobLayerOut(5)(29), L =>TobLayerOut(5)(30));
1106 
1107  TobLayerOut(5)(24)<=TobLayerIn(5)(24);
1108  TobLayerOut(5)(31)<=TobLayerIn(5)(31);
1109 
1110 
1111  compExch_Layer_03_to_04_sites_32_36: compExch port map(A =>TobLayerIn(3)(32), B =>TobLayerIn(3)(36), H =>TobLayerOut(3)(32), L =>TobLayerOut(3)(36));
1112  compExch_Layer_03_to_04_sites_33_37: compExch port map(A =>TobLayerIn(3)(33), B =>TobLayerIn(3)(37), H =>TobLayerOut(3)(33), L =>TobLayerOut(3)(37));
1113  compExch_Layer_03_to_04_sites_34_38: compExch port map(A =>TobLayerIn(3)(34), B =>TobLayerIn(3)(38), H =>TobLayerOut(3)(34), L =>TobLayerOut(3)(38));
1114  compExch_Layer_03_to_04_sites_35_39: compExch port map(A =>TobLayerIn(3)(35), B =>TobLayerIn(3)(39), H =>TobLayerOut(3)(35), L =>TobLayerOut(3)(39));
1115 
1116 
1117 
1118  compExch_Layer_04_to_05_sites_34_36: compExch port map(A =>TobLayerIn(4)(34), B =>TobLayerIn(4)(36), H =>TobLayerOut(4)(34), L =>TobLayerOut(4)(36));
1119  compExch_Layer_04_to_05_sites_35_37: compExch port map(A =>TobLayerIn(4)(35), B =>TobLayerIn(4)(37), H =>TobLayerOut(4)(35), L =>TobLayerOut(4)(37));
1120 
1121  TobLayerOut(4)(32)<=TobLayerIn(4)(32);
1122  TobLayerOut(4)(33)<=TobLayerIn(4)(33);
1123  TobLayerOut(4)(38)<=TobLayerIn(4)(38);
1124  TobLayerOut(4)(39)<=TobLayerIn(4)(39);
1125 
1126 
1127  compExch_Layer_05_to_06_sites_33_34: compExch port map(A =>TobLayerIn(5)(33), B =>TobLayerIn(5)(34), H =>TobLayerOut(5)(33), L =>TobLayerOut(5)(34));
1128  compExch_Layer_05_to_06_sites_35_36: compExch port map(A =>TobLayerIn(5)(35), B =>TobLayerIn(5)(36), H =>TobLayerOut(5)(35), L =>TobLayerOut(5)(36));
1129  compExch_Layer_05_to_06_sites_37_38: compExch port map(A =>TobLayerIn(5)(37), B =>TobLayerIn(5)(38), H =>TobLayerOut(5)(37), L =>TobLayerOut(5)(38));
1130 
1131  TobLayerOut(5)(32)<=TobLayerIn(5)(32);
1132  TobLayerOut(5)(39)<=TobLayerIn(5)(39);
1133 
1134 
1135  compExch_Layer_03_to_04_sites_40_44: compExch port map(A =>TobLayerIn(3)(40), B =>TobLayerIn(3)(44), H =>TobLayerOut(3)(40), L =>TobLayerOut(3)(44));
1136  compExch_Layer_03_to_04_sites_41_45: compExch port map(A =>TobLayerIn(3)(41), B =>TobLayerIn(3)(45), H =>TobLayerOut(3)(41), L =>TobLayerOut(3)(45));
1137  compExch_Layer_03_to_04_sites_42_46: compExch port map(A =>TobLayerIn(3)(42), B =>TobLayerIn(3)(46), H =>TobLayerOut(3)(42), L =>TobLayerOut(3)(46));
1138  compExch_Layer_03_to_04_sites_43_47: compExch port map(A =>TobLayerIn(3)(43), B =>TobLayerIn(3)(47), H =>TobLayerOut(3)(43), L =>TobLayerOut(3)(47));
1139 
1140 
1141 
1142  compExch_Layer_04_to_05_sites_42_44: compExch port map(A =>TobLayerIn(4)(42), B =>TobLayerIn(4)(44), H =>TobLayerOut(4)(42), L =>TobLayerOut(4)(44));
1143  compExch_Layer_04_to_05_sites_43_45: compExch port map(A =>TobLayerIn(4)(43), B =>TobLayerIn(4)(45), H =>TobLayerOut(4)(43), L =>TobLayerOut(4)(45));
1144 
1145  TobLayerOut(4)(40)<=TobLayerIn(4)(40);
1146  TobLayerOut(4)(41)<=TobLayerIn(4)(41);
1147  TobLayerOut(4)(46)<=TobLayerIn(4)(46);
1148  TobLayerOut(4)(47)<=TobLayerIn(4)(47);
1149 
1150 
1151  compExch_Layer_05_to_06_sites_41_42: compExch port map(A =>TobLayerIn(5)(41), B =>TobLayerIn(5)(42), H =>TobLayerOut(5)(41), L =>TobLayerOut(5)(42));
1152  compExch_Layer_05_to_06_sites_43_44: compExch port map(A =>TobLayerIn(5)(43), B =>TobLayerIn(5)(44), H =>TobLayerOut(5)(43), L =>TobLayerOut(5)(44));
1153  compExch_Layer_05_to_06_sites_45_46: compExch port map(A =>TobLayerIn(5)(45), B =>TobLayerIn(5)(46), H =>TobLayerOut(5)(45), L =>TobLayerOut(5)(46));
1154 
1155  TobLayerOut(5)(40)<=TobLayerIn(5)(40);
1156  TobLayerOut(5)(47)<=TobLayerIn(5)(47);
1157 
1158 
1159  compExch_Layer_03_to_04_sites_48_52: compExch port map(A =>TobLayerIn(3)(48), B =>TobLayerIn(3)(52), H =>TobLayerOut(3)(48), L =>TobLayerOut(3)(52));
1160  compExch_Layer_03_to_04_sites_49_53: compExch port map(A =>TobLayerIn(3)(49), B =>TobLayerIn(3)(53), H =>TobLayerOut(3)(49), L =>TobLayerOut(3)(53));
1161  compExch_Layer_03_to_04_sites_50_54: compExch port map(A =>TobLayerIn(3)(50), B =>TobLayerIn(3)(54), H =>TobLayerOut(3)(50), L =>TobLayerOut(3)(54));
1162  compExch_Layer_03_to_04_sites_51_55: compExch port map(A =>TobLayerIn(3)(51), B =>TobLayerIn(3)(55), H =>TobLayerOut(3)(51), L =>TobLayerOut(3)(55));
1163 
1164 
1165 
1166  compExch_Layer_04_to_05_sites_50_52: compExch port map(A =>TobLayerIn(4)(50), B =>TobLayerIn(4)(52), H =>TobLayerOut(4)(50), L =>TobLayerOut(4)(52));
1167  compExch_Layer_04_to_05_sites_51_53: compExch port map(A =>TobLayerIn(4)(51), B =>TobLayerIn(4)(53), H =>TobLayerOut(4)(51), L =>TobLayerOut(4)(53));
1168 
1169  TobLayerOut(4)(48)<=TobLayerIn(4)(48);
1170  TobLayerOut(4)(49)<=TobLayerIn(4)(49);
1171  TobLayerOut(4)(54)<=TobLayerIn(4)(54);
1172  TobLayerOut(4)(55)<=TobLayerIn(4)(55);
1173 
1174 
1175  compExch_Layer_05_to_06_sites_49_50: compExch port map(A =>TobLayerIn(5)(49), B =>TobLayerIn(5)(50), H =>TobLayerOut(5)(49), L =>TobLayerOut(5)(50));
1176  compExch_Layer_05_to_06_sites_51_52: compExch port map(A =>TobLayerIn(5)(51), B =>TobLayerIn(5)(52), H =>TobLayerOut(5)(51), L =>TobLayerOut(5)(52));
1177  compExch_Layer_05_to_06_sites_53_54: compExch port map(A =>TobLayerIn(5)(53), B =>TobLayerIn(5)(54), H =>TobLayerOut(5)(53), L =>TobLayerOut(5)(54));
1178 
1179  TobLayerOut(5)(48)<=TobLayerIn(5)(48);
1180  TobLayerOut(5)(55)<=TobLayerIn(5)(55);
1181 
1182 
1183  compExch_Layer_03_to_04_sites_56_60: compExch port map(A =>TobLayerIn(3)(56), B =>TobLayerIn(3)(60), H =>TobLayerOut(3)(56), L =>TobLayerOut(3)(60));
1184  compExch_Layer_03_to_04_sites_57_61: compExch port map(A =>TobLayerIn(3)(57), B =>TobLayerIn(3)(61), H =>TobLayerOut(3)(57), L =>TobLayerOut(3)(61));
1185  compExch_Layer_03_to_04_sites_58_62: compExch port map(A =>TobLayerIn(3)(58), B =>TobLayerIn(3)(62), H =>TobLayerOut(3)(58), L =>TobLayerOut(3)(62));
1186  compExch_Layer_03_to_04_sites_59_63: compExch port map(A =>TobLayerIn(3)(59), B =>TobLayerIn(3)(63), H =>TobLayerOut(3)(59), L =>TobLayerOut(3)(63));
1187 
1188 
1189 
1190  compExch_Layer_04_to_05_sites_58_60: compExch port map(A =>TobLayerIn(4)(58), B =>TobLayerIn(4)(60), H =>TobLayerOut(4)(58), L =>TobLayerOut(4)(60));
1191  compExch_Layer_04_to_05_sites_59_61: compExch port map(A =>TobLayerIn(4)(59), B =>TobLayerIn(4)(61), H =>TobLayerOut(4)(59), L =>TobLayerOut(4)(61));
1192 
1193  TobLayerOut(4)(56)<=TobLayerIn(4)(56);
1194  TobLayerOut(4)(57)<=TobLayerIn(4)(57);
1195  TobLayerOut(4)(62)<=TobLayerIn(4)(62);
1196  TobLayerOut(4)(63)<=TobLayerIn(4)(63);
1197 
1198 
1199  compExch_Layer_05_to_06_sites_57_58: compExch port map(A =>TobLayerIn(5)(57), B =>TobLayerIn(5)(58), H =>TobLayerOut(5)(57), L =>TobLayerOut(5)(58));
1200  compExch_Layer_05_to_06_sites_59_60: compExch port map(A =>TobLayerIn(5)(59), B =>TobLayerIn(5)(60), H =>TobLayerOut(5)(59), L =>TobLayerOut(5)(60));
1201  compExch_Layer_05_to_06_sites_61_62: compExch port map(A =>TobLayerIn(5)(61), B =>TobLayerIn(5)(62), H =>TobLayerOut(5)(61), L =>TobLayerOut(5)(62));
1202 
1203  TobLayerOut(5)(56)<=TobLayerIn(5)(56);
1204  TobLayerOut(5)(63)<=TobLayerIn(5)(63);
1205 
1206 
1207  compExch_Layer_06_to_07_sites_00_08: compExch port map(A =>TobLayerIn(6)(0), B =>TobLayerIn(6)(8), H =>TobLayerOut(6)(0), L =>TobLayerOut(6)(8));
1208  compExch_Layer_06_to_07_sites_01_09: compExch port map(A =>TobLayerIn(6)(1), B =>TobLayerIn(6)(9), H =>TobLayerOut(6)(1), L =>TobLayerOut(6)(9));
1209  compExch_Layer_06_to_07_sites_02_10: compExch port map(A =>TobLayerIn(6)(2), B =>TobLayerIn(6)(10), H =>TobLayerOut(6)(2), L =>TobLayerOut(6)(10));
1210  compExch_Layer_06_to_07_sites_03_11: compExch port map(A =>TobLayerIn(6)(3), B =>TobLayerIn(6)(11), H =>TobLayerOut(6)(3), L =>TobLayerOut(6)(11));
1211  compExch_Layer_06_to_07_sites_04_12: compExch port map(A =>TobLayerIn(6)(4), B =>TobLayerIn(6)(12), H =>TobLayerOut(6)(4), L =>TobLayerOut(6)(12));
1212  compExch_Layer_06_to_07_sites_05_13: compExch port map(A =>TobLayerIn(6)(5), B =>TobLayerIn(6)(13), H =>TobLayerOut(6)(5), L =>TobLayerOut(6)(13));
1213  compExch_Layer_06_to_07_sites_06_14: compExch port map(A =>TobLayerIn(6)(6), B =>TobLayerIn(6)(14), H =>TobLayerOut(6)(6), L =>TobLayerOut(6)(14));
1214  compExch_Layer_06_to_07_sites_07_15: compExch port map(A =>TobLayerIn(6)(7), B =>TobLayerIn(6)(15), H =>TobLayerOut(6)(7), L =>TobLayerOut(6)(15));
1215 
1216 
1217 
1218  compExch_Layer_07_to_08_sites_04_08: compExch port map(A =>TobLayerIn(7)(4), B =>TobLayerIn(7)(8), H =>TobLayerOut(7)(4), L =>TobLayerOut(7)(8));
1219  compExch_Layer_07_to_08_sites_05_09: compExch port map(A =>TobLayerIn(7)(5), B =>TobLayerIn(7)(9), H =>TobLayerOut(7)(5), L =>TobLayerOut(7)(9));
1220  compExch_Layer_07_to_08_sites_06_10: compExch port map(A =>TobLayerIn(7)(6), B =>TobLayerIn(7)(10), H =>TobLayerOut(7)(6), L =>TobLayerOut(7)(10));
1221  compExch_Layer_07_to_08_sites_07_11: compExch port map(A =>TobLayerIn(7)(7), B =>TobLayerIn(7)(11), H =>TobLayerOut(7)(7), L =>TobLayerOut(7)(11));
1222 
1223  TobLayerOut(7)(0)<=TobLayerIn(7)(0);
1224  TobLayerOut(7)(1)<=TobLayerIn(7)(1);
1225  TobLayerOut(7)(2)<=TobLayerIn(7)(2);
1226  TobLayerOut(7)(3)<=TobLayerIn(7)(3);
1227  TobLayerOut(7)(12)<=TobLayerIn(7)(12);
1228  TobLayerOut(7)(13)<=TobLayerIn(7)(13);
1229  TobLayerOut(7)(14)<=TobLayerIn(7)(14);
1230  TobLayerOut(7)(15)<=TobLayerIn(7)(15);
1231 
1232 
1233  compExch_Layer_08_to_09_sites_02_04: compExch port map(A =>TobLayerIn(8)(2), B =>TobLayerIn(8)(4), H =>TobLayerOut(8)(2), L =>TobLayerOut(8)(4));
1234  compExch_Layer_08_to_09_sites_03_05: compExch port map(A =>TobLayerIn(8)(3), B =>TobLayerIn(8)(5), H =>TobLayerOut(8)(3), L =>TobLayerOut(8)(5));
1235  compExch_Layer_08_to_09_sites_06_08: compExch port map(A =>TobLayerIn(8)(6), B =>TobLayerIn(8)(8), H =>TobLayerOut(8)(6), L =>TobLayerOut(8)(8));
1236  compExch_Layer_08_to_09_sites_07_09: compExch port map(A =>TobLayerIn(8)(7), B =>TobLayerIn(8)(9), H =>TobLayerOut(8)(7), L =>TobLayerOut(8)(9));
1237  compExch_Layer_08_to_09_sites_10_12: compExch port map(A =>TobLayerIn(8)(10), B =>TobLayerIn(8)(12), H =>TobLayerOut(8)(10), L =>TobLayerOut(8)(12));
1238  compExch_Layer_08_to_09_sites_11_13: compExch port map(A =>TobLayerIn(8)(11), B =>TobLayerIn(8)(13), H =>TobLayerOut(8)(11), L =>TobLayerOut(8)(13));
1239 
1240  TobLayerOut(8)(0)<=TobLayerIn(8)(0);
1241  TobLayerOut(8)(1)<=TobLayerIn(8)(1);
1242  TobLayerOut(8)(14)<=TobLayerIn(8)(14);
1243  TobLayerOut(8)(15)<=TobLayerIn(8)(15);
1244 
1245 
1246  compExch_Layer_09_to_10_sites_01_02: compExch port map(A =>TobLayerIn(9)(1), B =>TobLayerIn(9)(2), H =>TobLayerOut(9)(1), L =>TobLayerOut(9)(2));
1247  compExch_Layer_09_to_10_sites_03_04: compExch port map(A =>TobLayerIn(9)(3), B =>TobLayerIn(9)(4), H =>TobLayerOut(9)(3), L =>TobLayerOut(9)(4));
1248  compExch_Layer_09_to_10_sites_05_06: compExch port map(A =>TobLayerIn(9)(5), B =>TobLayerIn(9)(6), H =>TobLayerOut(9)(5), L =>TobLayerOut(9)(6));
1249  compExch_Layer_09_to_10_sites_07_08: compExch port map(A =>TobLayerIn(9)(7), B =>TobLayerIn(9)(8), H =>TobLayerOut(9)(7), L =>TobLayerOut(9)(8));
1250  compExch_Layer_09_to_10_sites_09_10: compExch port map(A =>TobLayerIn(9)(9), B =>TobLayerIn(9)(10), H =>TobLayerOut(9)(9), L =>TobLayerOut(9)(10));
1251  compExch_Layer_09_to_10_sites_11_12: compExch port map(A =>TobLayerIn(9)(11), B =>TobLayerIn(9)(12), H =>TobLayerOut(9)(11), L =>TobLayerOut(9)(12));
1252  compExch_Layer_09_to_10_sites_13_14: compExch port map(A =>TobLayerIn(9)(13), B =>TobLayerIn(9)(14), H =>TobLayerOut(9)(13), L =>TobLayerOut(9)(14));
1253 
1254  TobLayerOut(9)(0)<=TobLayerIn(9)(0);
1255  TobLayerOut(9)(15)<=TobLayerIn(9)(15);
1256 
1257 
1258  compExch_Layer_06_to_07_sites_16_24: compExch port map(A =>TobLayerIn(6)(16), B =>TobLayerIn(6)(24), H =>TobLayerOut(6)(16), L =>TobLayerOut(6)(24));
1259  compExch_Layer_06_to_07_sites_17_25: compExch port map(A =>TobLayerIn(6)(17), B =>TobLayerIn(6)(25), H =>TobLayerOut(6)(17), L =>TobLayerOut(6)(25));
1260  compExch_Layer_06_to_07_sites_18_26: compExch port map(A =>TobLayerIn(6)(18), B =>TobLayerIn(6)(26), H =>TobLayerOut(6)(18), L =>TobLayerOut(6)(26));
1261  compExch_Layer_06_to_07_sites_19_27: compExch port map(A =>TobLayerIn(6)(19), B =>TobLayerIn(6)(27), H =>TobLayerOut(6)(19), L =>TobLayerOut(6)(27));
1262  compExch_Layer_06_to_07_sites_20_28: compExch port map(A =>TobLayerIn(6)(20), B =>TobLayerIn(6)(28), H =>TobLayerOut(6)(20), L =>TobLayerOut(6)(28));
1263  compExch_Layer_06_to_07_sites_21_29: compExch port map(A =>TobLayerIn(6)(21), B =>TobLayerIn(6)(29), H =>TobLayerOut(6)(21), L =>TobLayerOut(6)(29));
1264  compExch_Layer_06_to_07_sites_22_30: compExch port map(A =>TobLayerIn(6)(22), B =>TobLayerIn(6)(30), H =>TobLayerOut(6)(22), L =>TobLayerOut(6)(30));
1265  compExch_Layer_06_to_07_sites_23_31: compExch port map(A =>TobLayerIn(6)(23), B =>TobLayerIn(6)(31), H =>TobLayerOut(6)(23), L =>TobLayerOut(6)(31));
1266 
1267 
1268 
1269  compExch_Layer_07_to_08_sites_20_24: compExch port map(A =>TobLayerIn(7)(20), B =>TobLayerIn(7)(24), H =>TobLayerOut(7)(20), L =>TobLayerOut(7)(24));
1270  compExch_Layer_07_to_08_sites_21_25: compExch port map(A =>TobLayerIn(7)(21), B =>TobLayerIn(7)(25), H =>TobLayerOut(7)(21), L =>TobLayerOut(7)(25));
1271  compExch_Layer_07_to_08_sites_22_26: compExch port map(A =>TobLayerIn(7)(22), B =>TobLayerIn(7)(26), H =>TobLayerOut(7)(22), L =>TobLayerOut(7)(26));
1272  compExch_Layer_07_to_08_sites_23_27: compExch port map(A =>TobLayerIn(7)(23), B =>TobLayerIn(7)(27), H =>TobLayerOut(7)(23), L =>TobLayerOut(7)(27));
1273 
1274  TobLayerOut(7)(16)<=TobLayerIn(7)(16);
1275  TobLayerOut(7)(17)<=TobLayerIn(7)(17);
1276  TobLayerOut(7)(18)<=TobLayerIn(7)(18);
1277  TobLayerOut(7)(19)<=TobLayerIn(7)(19);
1278  TobLayerOut(7)(28)<=TobLayerIn(7)(28);
1279  TobLayerOut(7)(29)<=TobLayerIn(7)(29);
1280  TobLayerOut(7)(30)<=TobLayerIn(7)(30);
1281  TobLayerOut(7)(31)<=TobLayerIn(7)(31);
1282 
1283 
1284  compExch_Layer_08_to_09_sites_18_20: compExch port map(A =>TobLayerIn(8)(18), B =>TobLayerIn(8)(20), H =>TobLayerOut(8)(18), L =>TobLayerOut(8)(20));
1285  compExch_Layer_08_to_09_sites_19_21: compExch port map(A =>TobLayerIn(8)(19), B =>TobLayerIn(8)(21), H =>TobLayerOut(8)(19), L =>TobLayerOut(8)(21));
1286  compExch_Layer_08_to_09_sites_22_24: compExch port map(A =>TobLayerIn(8)(22), B =>TobLayerIn(8)(24), H =>TobLayerOut(8)(22), L =>TobLayerOut(8)(24));
1287  compExch_Layer_08_to_09_sites_23_25: compExch port map(A =>TobLayerIn(8)(23), B =>TobLayerIn(8)(25), H =>TobLayerOut(8)(23), L =>TobLayerOut(8)(25));
1288  compExch_Layer_08_to_09_sites_26_28: compExch port map(A =>TobLayerIn(8)(26), B =>TobLayerIn(8)(28), H =>TobLayerOut(8)(26), L =>TobLayerOut(8)(28));
1289  compExch_Layer_08_to_09_sites_27_29: compExch port map(A =>TobLayerIn(8)(27), B =>TobLayerIn(8)(29), H =>TobLayerOut(8)(27), L =>TobLayerOut(8)(29));
1290 
1291  TobLayerOut(8)(16)<=TobLayerIn(8)(16);
1292  TobLayerOut(8)(17)<=TobLayerIn(8)(17);
1293  TobLayerOut(8)(30)<=TobLayerIn(8)(30);
1294  TobLayerOut(8)(31)<=TobLayerIn(8)(31);
1295 
1296 
1297  compExch_Layer_09_to_10_sites_17_18: compExch port map(A =>TobLayerIn(9)(17), B =>TobLayerIn(9)(18), H =>TobLayerOut(9)(17), L =>TobLayerOut(9)(18));
1298  compExch_Layer_09_to_10_sites_19_20: compExch port map(A =>TobLayerIn(9)(19), B =>TobLayerIn(9)(20), H =>TobLayerOut(9)(19), L =>TobLayerOut(9)(20));
1299  compExch_Layer_09_to_10_sites_21_22: compExch port map(A =>TobLayerIn(9)(21), B =>TobLayerIn(9)(22), H =>TobLayerOut(9)(21), L =>TobLayerOut(9)(22));
1300  compExch_Layer_09_to_10_sites_23_24: compExch port map(A =>TobLayerIn(9)(23), B =>TobLayerIn(9)(24), H =>TobLayerOut(9)(23), L =>TobLayerOut(9)(24));
1301  compExch_Layer_09_to_10_sites_25_26: compExch port map(A =>TobLayerIn(9)(25), B =>TobLayerIn(9)(26), H =>TobLayerOut(9)(25), L =>TobLayerOut(9)(26));
1302  compExch_Layer_09_to_10_sites_27_28: compExch port map(A =>TobLayerIn(9)(27), B =>TobLayerIn(9)(28), H =>TobLayerOut(9)(27), L =>TobLayerOut(9)(28));
1303  compExch_Layer_09_to_10_sites_29_30: compExch port map(A =>TobLayerIn(9)(29), B =>TobLayerIn(9)(30), H =>TobLayerOut(9)(29), L =>TobLayerOut(9)(30));
1304 
1305  TobLayerOut(9)(16)<=TobLayerIn(9)(16);
1306  TobLayerOut(9)(31)<=TobLayerIn(9)(31);
1307 
1308 
1309  compExch_Layer_06_to_07_sites_32_40: compExch port map(A =>TobLayerIn(6)(32), B =>TobLayerIn(6)(40), H =>TobLayerOut(6)(32), L =>TobLayerOut(6)(40));
1310  compExch_Layer_06_to_07_sites_33_41: compExch port map(A =>TobLayerIn(6)(33), B =>TobLayerIn(6)(41), H =>TobLayerOut(6)(33), L =>TobLayerOut(6)(41));
1311  compExch_Layer_06_to_07_sites_34_42: compExch port map(A =>TobLayerIn(6)(34), B =>TobLayerIn(6)(42), H =>TobLayerOut(6)(34), L =>TobLayerOut(6)(42));
1312  compExch_Layer_06_to_07_sites_35_43: compExch port map(A =>TobLayerIn(6)(35), B =>TobLayerIn(6)(43), H =>TobLayerOut(6)(35), L =>TobLayerOut(6)(43));
1313  compExch_Layer_06_to_07_sites_36_44: compExch port map(A =>TobLayerIn(6)(36), B =>TobLayerIn(6)(44), H =>TobLayerOut(6)(36), L =>TobLayerOut(6)(44));
1314  compExch_Layer_06_to_07_sites_37_45: compExch port map(A =>TobLayerIn(6)(37), B =>TobLayerIn(6)(45), H =>TobLayerOut(6)(37), L =>TobLayerOut(6)(45));
1315  compExch_Layer_06_to_07_sites_38_46: compExch port map(A =>TobLayerIn(6)(38), B =>TobLayerIn(6)(46), H =>TobLayerOut(6)(38), L =>TobLayerOut(6)(46));
1316  compExch_Layer_06_to_07_sites_39_47: compExch port map(A =>TobLayerIn(6)(39), B =>TobLayerIn(6)(47), H =>TobLayerOut(6)(39), L =>TobLayerOut(6)(47));
1317 
1318 
1319 
1320  compExch_Layer_07_to_08_sites_36_40: compExch port map(A =>TobLayerIn(7)(36), B =>TobLayerIn(7)(40), H =>TobLayerOut(7)(36), L =>TobLayerOut(7)(40));
1321  compExch_Layer_07_to_08_sites_37_41: compExch port map(A =>TobLayerIn(7)(37), B =>TobLayerIn(7)(41), H =>TobLayerOut(7)(37), L =>TobLayerOut(7)(41));
1322  compExch_Layer_07_to_08_sites_38_42: compExch port map(A =>TobLayerIn(7)(38), B =>TobLayerIn(7)(42), H =>TobLayerOut(7)(38), L =>TobLayerOut(7)(42));
1323  compExch_Layer_07_to_08_sites_39_43: compExch port map(A =>TobLayerIn(7)(39), B =>TobLayerIn(7)(43), H =>TobLayerOut(7)(39), L =>TobLayerOut(7)(43));
1324 
1325  TobLayerOut(7)(32)<=TobLayerIn(7)(32);
1326  TobLayerOut(7)(33)<=TobLayerIn(7)(33);
1327  TobLayerOut(7)(34)<=TobLayerIn(7)(34);
1328  TobLayerOut(7)(35)<=TobLayerIn(7)(35);
1329  TobLayerOut(7)(44)<=TobLayerIn(7)(44);
1330  TobLayerOut(7)(45)<=TobLayerIn(7)(45);
1331  TobLayerOut(7)(46)<=TobLayerIn(7)(46);
1332  TobLayerOut(7)(47)<=TobLayerIn(7)(47);
1333 
1334 
1335  compExch_Layer_08_to_09_sites_34_36: compExch port map(A =>TobLayerIn(8)(34), B =>TobLayerIn(8)(36), H =>TobLayerOut(8)(34), L =>TobLayerOut(8)(36));
1336  compExch_Layer_08_to_09_sites_35_37: compExch port map(A =>TobLayerIn(8)(35), B =>TobLayerIn(8)(37), H =>TobLayerOut(8)(35), L =>TobLayerOut(8)(37));
1337  compExch_Layer_08_to_09_sites_38_40: compExch port map(A =>TobLayerIn(8)(38), B =>TobLayerIn(8)(40), H =>TobLayerOut(8)(38), L =>TobLayerOut(8)(40));
1338  compExch_Layer_08_to_09_sites_39_41: compExch port map(A =>TobLayerIn(8)(39), B =>TobLayerIn(8)(41), H =>TobLayerOut(8)(39), L =>TobLayerOut(8)(41));
1339  compExch_Layer_08_to_09_sites_42_44: compExch port map(A =>TobLayerIn(8)(42), B =>TobLayerIn(8)(44), H =>TobLayerOut(8)(42), L =>TobLayerOut(8)(44));
1340  compExch_Layer_08_to_09_sites_43_45: compExch port map(A =>TobLayerIn(8)(43), B =>TobLayerIn(8)(45), H =>TobLayerOut(8)(43), L =>TobLayerOut(8)(45));
1341 
1342  TobLayerOut(8)(32)<=TobLayerIn(8)(32);
1343  TobLayerOut(8)(33)<=TobLayerIn(8)(33);
1344  TobLayerOut(8)(46)<=TobLayerIn(8)(46);
1345  TobLayerOut(8)(47)<=TobLayerIn(8)(47);
1346 
1347 
1348  compExch_Layer_09_to_10_sites_33_34: compExch port map(A =>TobLayerIn(9)(33), B =>TobLayerIn(9)(34), H =>TobLayerOut(9)(33), L =>TobLayerOut(9)(34));
1349  compExch_Layer_09_to_10_sites_35_36: compExch port map(A =>TobLayerIn(9)(35), B =>TobLayerIn(9)(36), H =>TobLayerOut(9)(35), L =>TobLayerOut(9)(36));
1350  compExch_Layer_09_to_10_sites_37_38: compExch port map(A =>TobLayerIn(9)(37), B =>TobLayerIn(9)(38), H =>TobLayerOut(9)(37), L =>TobLayerOut(9)(38));
1351  compExch_Layer_09_to_10_sites_39_40: compExch port map(A =>TobLayerIn(9)(39), B =>TobLayerIn(9)(40), H =>TobLayerOut(9)(39), L =>TobLayerOut(9)(40));
1352  compExch_Layer_09_to_10_sites_41_42: compExch port map(A =>TobLayerIn(9)(41), B =>TobLayerIn(9)(42), H =>TobLayerOut(9)(41), L =>TobLayerOut(9)(42));
1353  compExch_Layer_09_to_10_sites_43_44: compExch port map(A =>TobLayerIn(9)(43), B =>TobLayerIn(9)(44), H =>TobLayerOut(9)(43), L =>TobLayerOut(9)(44));
1354  compExch_Layer_09_to_10_sites_45_46: compExch port map(A =>TobLayerIn(9)(45), B =>TobLayerIn(9)(46), H =>TobLayerOut(9)(45), L =>TobLayerOut(9)(46));
1355 
1356  TobLayerOut(9)(32)<=TobLayerIn(9)(32);
1357  TobLayerOut(9)(47)<=TobLayerIn(9)(47);
1358 
1359 
1360  compExch_Layer_06_to_07_sites_48_56: compExch port map(A =>TobLayerIn(6)(48), B =>TobLayerIn(6)(56), H =>TobLayerOut(6)(48), L =>TobLayerOut(6)(56));
1361  compExch_Layer_06_to_07_sites_49_57: compExch port map(A =>TobLayerIn(6)(49), B =>TobLayerIn(6)(57), H =>TobLayerOut(6)(49), L =>TobLayerOut(6)(57));
1362  compExch_Layer_06_to_07_sites_50_58: compExch port map(A =>TobLayerIn(6)(50), B =>TobLayerIn(6)(58), H =>TobLayerOut(6)(50), L =>TobLayerOut(6)(58));
1363  compExch_Layer_06_to_07_sites_51_59: compExch port map(A =>TobLayerIn(6)(51), B =>TobLayerIn(6)(59), H =>TobLayerOut(6)(51), L =>TobLayerOut(6)(59));
1364  compExch_Layer_06_to_07_sites_52_60: compExch port map(A =>TobLayerIn(6)(52), B =>TobLayerIn(6)(60), H =>TobLayerOut(6)(52), L =>TobLayerOut(6)(60));
1365  compExch_Layer_06_to_07_sites_53_61: compExch port map(A =>TobLayerIn(6)(53), B =>TobLayerIn(6)(61), H =>TobLayerOut(6)(53), L =>TobLayerOut(6)(61));
1366  compExch_Layer_06_to_07_sites_54_62: compExch port map(A =>TobLayerIn(6)(54), B =>TobLayerIn(6)(62), H =>TobLayerOut(6)(54), L =>TobLayerOut(6)(62));
1367  compExch_Layer_06_to_07_sites_55_63: compExch port map(A =>TobLayerIn(6)(55), B =>TobLayerIn(6)(63), H =>TobLayerOut(6)(55), L =>TobLayerOut(6)(63));
1368 
1369 
1370 
1371  compExch_Layer_07_to_08_sites_52_56: compExch port map(A =>TobLayerIn(7)(52), B =>TobLayerIn(7)(56), H =>TobLayerOut(7)(52), L =>TobLayerOut(7)(56));
1372  compExch_Layer_07_to_08_sites_53_57: compExch port map(A =>TobLayerIn(7)(53), B =>TobLayerIn(7)(57), H =>TobLayerOut(7)(53), L =>TobLayerOut(7)(57));
1373  compExch_Layer_07_to_08_sites_54_58: compExch port map(A =>TobLayerIn(7)(54), B =>TobLayerIn(7)(58), H =>TobLayerOut(7)(54), L =>TobLayerOut(7)(58));
1374  compExch_Layer_07_to_08_sites_55_59: compExch port map(A =>TobLayerIn(7)(55), B =>TobLayerIn(7)(59), H =>TobLayerOut(7)(55), L =>TobLayerOut(7)(59));
1375 
1376  TobLayerOut(7)(48)<=TobLayerIn(7)(48);
1377  TobLayerOut(7)(49)<=TobLayerIn(7)(49);
1378  TobLayerOut(7)(50)<=TobLayerIn(7)(50);
1379  TobLayerOut(7)(51)<=TobLayerIn(7)(51);
1380  TobLayerOut(7)(60)<=TobLayerIn(7)(60);
1381  TobLayerOut(7)(61)<=TobLayerIn(7)(61);
1382  TobLayerOut(7)(62)<=TobLayerIn(7)(62);
1383  TobLayerOut(7)(63)<=TobLayerIn(7)(63);
1384 
1385 
1386  compExch_Layer_08_to_09_sites_50_52: compExch port map(A =>TobLayerIn(8)(50), B =>TobLayerIn(8)(52), H =>TobLayerOut(8)(50), L =>TobLayerOut(8)(52));
1387  compExch_Layer_08_to_09_sites_51_53: compExch port map(A =>TobLayerIn(8)(51), B =>TobLayerIn(8)(53), H =>TobLayerOut(8)(51), L =>TobLayerOut(8)(53));
1388  compExch_Layer_08_to_09_sites_54_56: compExch port map(A =>TobLayerIn(8)(54), B =>TobLayerIn(8)(56), H =>TobLayerOut(8)(54), L =>TobLayerOut(8)(56));
1389  compExch_Layer_08_to_09_sites_55_57: compExch port map(A =>TobLayerIn(8)(55), B =>TobLayerIn(8)(57), H =>TobLayerOut(8)(55), L =>TobLayerOut(8)(57));
1390  compExch_Layer_08_to_09_sites_58_60: compExch port map(A =>TobLayerIn(8)(58), B =>TobLayerIn(8)(60), H =>TobLayerOut(8)(58), L =>TobLayerOut(8)(60));
1391  compExch_Layer_08_to_09_sites_59_61: compExch port map(A =>TobLayerIn(8)(59), B =>TobLayerIn(8)(61), H =>TobLayerOut(8)(59), L =>TobLayerOut(8)(61));
1392 
1393  TobLayerOut(8)(48)<=TobLayerIn(8)(48);
1394  TobLayerOut(8)(49)<=TobLayerIn(8)(49);
1395  TobLayerOut(8)(62)<=TobLayerIn(8)(62);
1396  TobLayerOut(8)(63)<=TobLayerIn(8)(63);
1397 
1398 
1399  compExch_Layer_09_to_10_sites_49_50: compExch port map(A =>TobLayerIn(9)(49), B =>TobLayerIn(9)(50), H =>TobLayerOut(9)(49), L =>TobLayerOut(9)(50));
1400  compExch_Layer_09_to_10_sites_51_52: compExch port map(A =>TobLayerIn(9)(51), B =>TobLayerIn(9)(52), H =>TobLayerOut(9)(51), L =>TobLayerOut(9)(52));
1401  compExch_Layer_09_to_10_sites_53_54: compExch port map(A =>TobLayerIn(9)(53), B =>TobLayerIn(9)(54), H =>TobLayerOut(9)(53), L =>TobLayerOut(9)(54));
1402  compExch_Layer_09_to_10_sites_55_56: compExch port map(A =>TobLayerIn(9)(55), B =>TobLayerIn(9)(56), H =>TobLayerOut(9)(55), L =>TobLayerOut(9)(56));
1403  compExch_Layer_09_to_10_sites_57_58: compExch port map(A =>TobLayerIn(9)(57), B =>TobLayerIn(9)(58), H =>TobLayerOut(9)(57), L =>TobLayerOut(9)(58));
1404  compExch_Layer_09_to_10_sites_59_60: compExch port map(A =>TobLayerIn(9)(59), B =>TobLayerIn(9)(60), H =>TobLayerOut(9)(59), L =>TobLayerOut(9)(60));
1405  compExch_Layer_09_to_10_sites_61_62: compExch port map(A =>TobLayerIn(9)(61), B =>TobLayerIn(9)(62), H =>TobLayerOut(9)(61), L =>TobLayerOut(9)(62));
1406 
1407  TobLayerOut(9)(48)<=TobLayerIn(9)(48);
1408  TobLayerOut(9)(63)<=TobLayerIn(9)(63);
1409 
1410 
1411  compExch_Layer_10_to_11_sites_00_16: compExch port map(A =>TobLayerIn(10)(0), B =>TobLayerIn(10)(16), H =>TobLayerOut(10)(0), L =>TobLayerOut(10)(16));
1412  compExch_Layer_10_to_11_sites_01_17: compExch port map(A =>TobLayerIn(10)(1), B =>TobLayerIn(10)(17), H =>TobLayerOut(10)(1), L =>TobLayerOut(10)(17));
1413  compExch_Layer_10_to_11_sites_02_18: compExch port map(A =>TobLayerIn(10)(2), B =>TobLayerIn(10)(18), H =>TobLayerOut(10)(2), L =>TobLayerOut(10)(18));
1414  compExch_Layer_10_to_11_sites_03_19: compExch port map(A =>TobLayerIn(10)(3), B =>TobLayerIn(10)(19), H =>TobLayerOut(10)(3), L =>TobLayerOut(10)(19));
1415  compExch_Layer_10_to_11_sites_04_20: compExch port map(A =>TobLayerIn(10)(4), B =>TobLayerIn(10)(20), H =>TobLayerOut(10)(4), L =>TobLayerOut(10)(20));
1416  compExch_Layer_10_to_11_sites_05_21: compExch port map(A =>TobLayerIn(10)(5), B =>TobLayerIn(10)(21), H =>TobLayerOut(10)(5), L =>TobLayerOut(10)(21));
1417  compExch_Layer_10_to_11_sites_06_22: compExch port map(A =>TobLayerIn(10)(6), B =>TobLayerIn(10)(22), H =>TobLayerOut(10)(6), L =>TobLayerOut(10)(22));
1418  compExch_Layer_10_to_11_sites_07_23: compExch port map(A =>TobLayerIn(10)(7), B =>TobLayerIn(10)(23), H =>TobLayerOut(10)(7), L =>TobLayerOut(10)(23));
1419  compExch_Layer_10_to_11_sites_08_24: compExch port map(A =>TobLayerIn(10)(8), B =>TobLayerIn(10)(24), H =>TobLayerOut(10)(8), L =>TobLayerOut(10)(24));
1420  compExch_Layer_10_to_11_sites_09_25: compExch port map(A =>TobLayerIn(10)(9), B =>TobLayerIn(10)(25), H =>TobLayerOut(10)(9), L =>TobLayerOut(10)(25));
1421  compExch_Layer_10_to_11_sites_10_26: compExch port map(A =>TobLayerIn(10)(10), B =>TobLayerIn(10)(26), H =>TobLayerOut(10)(10), L =>TobLayerOut(10)(26));
1422  compExch_Layer_10_to_11_sites_11_27: compExch port map(A =>TobLayerIn(10)(11), B =>TobLayerIn(10)(27), H =>TobLayerOut(10)(11), L =>TobLayerOut(10)(27));
1423  compExch_Layer_10_to_11_sites_12_28: compExch port map(A =>TobLayerIn(10)(12), B =>TobLayerIn(10)(28), H =>TobLayerOut(10)(12), L =>TobLayerOut(10)(28));
1424  compExch_Layer_10_to_11_sites_13_29: compExch port map(A =>TobLayerIn(10)(13), B =>TobLayerIn(10)(29), H =>TobLayerOut(10)(13), L =>TobLayerOut(10)(29));
1425  compExch_Layer_10_to_11_sites_14_30: compExch port map(A =>TobLayerIn(10)(14), B =>TobLayerIn(10)(30), H =>TobLayerOut(10)(14), L =>TobLayerOut(10)(30));
1426  compExch_Layer_10_to_11_sites_15_31: compExch port map(A =>TobLayerIn(10)(15), B =>TobLayerIn(10)(31), H =>TobLayerOut(10)(15), L =>TobLayerOut(10)(31));
1427 
1428 
1429 
1430  compExch_Layer_11_to_12_sites_08_16: compExch port map(A =>TobLayerIn(11)(8), B =>TobLayerIn(11)(16), H =>TobLayerOut(11)(8), L =>TobLayerOut(11)(16));
1431  compExch_Layer_11_to_12_sites_09_17: compExch port map(A =>TobLayerIn(11)(9), B =>TobLayerIn(11)(17), H =>TobLayerOut(11)(9), L =>TobLayerOut(11)(17));
1432  compExch_Layer_11_to_12_sites_10_18: compExch port map(A =>TobLayerIn(11)(10), B =>TobLayerIn(11)(18), H =>TobLayerOut(11)(10), L =>TobLayerOut(11)(18));
1433  compExch_Layer_11_to_12_sites_11_19: compExch port map(A =>TobLayerIn(11)(11), B =>TobLayerIn(11)(19), H =>TobLayerOut(11)(11), L =>TobLayerOut(11)(19));
1434  compExch_Layer_11_to_12_sites_12_20: compExch port map(A =>TobLayerIn(11)(12), B =>TobLayerIn(11)(20), H =>TobLayerOut(11)(12), L =>TobLayerOut(11)(20));
1435  compExch_Layer_11_to_12_sites_13_21: compExch port map(A =>TobLayerIn(11)(13), B =>TobLayerIn(11)(21), H =>TobLayerOut(11)(13), L =>TobLayerOut(11)(21));
1436  compExch_Layer_11_to_12_sites_14_22: compExch port map(A =>TobLayerIn(11)(14), B =>TobLayerIn(11)(22), H =>TobLayerOut(11)(14), L =>TobLayerOut(11)(22));
1437  compExch_Layer_11_to_12_sites_15_23: compExch port map(A =>TobLayerIn(11)(15), B =>TobLayerIn(11)(23), H =>TobLayerOut(11)(15), L =>TobLayerOut(11)(23));
1438 
1439  TobLayerOut(11)(0)<=TobLayerIn(11)(0);
1440  TobLayerOut(11)(1)<=TobLayerIn(11)(1);
1441  TobLayerOut(11)(2)<=TobLayerIn(11)(2);
1442  TobLayerOut(11)(3)<=TobLayerIn(11)(3);
1443  TobLayerOut(11)(4)<=TobLayerIn(11)(4);
1444  TobLayerOut(11)(5)<=TobLayerIn(11)(5);
1445  TobLayerOut(11)(6)<=TobLayerIn(11)(6);
1446  TobLayerOut(11)(7)<=TobLayerIn(11)(7);
1447  TobLayerOut(11)(24)<=TobLayerIn(11)(24);
1448  TobLayerOut(11)(25)<=TobLayerIn(11)(25);
1449  TobLayerOut(11)(26)<=TobLayerIn(11)(26);
1450  TobLayerOut(11)(27)<=TobLayerIn(11)(27);
1451  TobLayerOut(11)(28)<=TobLayerIn(11)(28);
1452  TobLayerOut(11)(29)<=TobLayerIn(11)(29);
1453  TobLayerOut(11)(30)<=TobLayerIn(11)(30);
1454  TobLayerOut(11)(31)<=TobLayerIn(11)(31);
1455 
1456 
1457  compExch_Layer_12_to_13_sites_04_08: compExch port map(A =>TobLayerIn(12)(4), B =>TobLayerIn(12)(8), H =>TobLayerOut(12)(4), L =>TobLayerOut(12)(8));
1458  compExch_Layer_12_to_13_sites_05_09: compExch port map(A =>TobLayerIn(12)(5), B =>TobLayerIn(12)(9), H =>TobLayerOut(12)(5), L =>TobLayerOut(12)(9));
1459  compExch_Layer_12_to_13_sites_06_10: compExch port map(A =>TobLayerIn(12)(6), B =>TobLayerIn(12)(10), H =>TobLayerOut(12)(6), L =>TobLayerOut(12)(10));
1460  compExch_Layer_12_to_13_sites_07_11: compExch port map(A =>TobLayerIn(12)(7), B =>TobLayerIn(12)(11), H =>TobLayerOut(12)(7), L =>TobLayerOut(12)(11));
1461  compExch_Layer_12_to_13_sites_12_16: compExch port map(A =>TobLayerIn(12)(12), B =>TobLayerIn(12)(16), H =>TobLayerOut(12)(12), L =>TobLayerOut(12)(16));
1462  compExch_Layer_12_to_13_sites_13_17: compExch port map(A =>TobLayerIn(12)(13), B =>TobLayerIn(12)(17), H =>TobLayerOut(12)(13), L =>TobLayerOut(12)(17));
1463  compExch_Layer_12_to_13_sites_14_18: compExch port map(A =>TobLayerIn(12)(14), B =>TobLayerIn(12)(18), H =>TobLayerOut(12)(14), L =>TobLayerOut(12)(18));
1464  compExch_Layer_12_to_13_sites_15_19: compExch port map(A =>TobLayerIn(12)(15), B =>TobLayerIn(12)(19), H =>TobLayerOut(12)(15), L =>TobLayerOut(12)(19));
1465  compExch_Layer_12_to_13_sites_20_24: compExch port map(A =>TobLayerIn(12)(20), B =>TobLayerIn(12)(24), H =>TobLayerOut(12)(20), L =>TobLayerOut(12)(24));
1466  compExch_Layer_12_to_13_sites_21_25: compExch port map(A =>TobLayerIn(12)(21), B =>TobLayerIn(12)(25), H =>TobLayerOut(12)(21), L =>TobLayerOut(12)(25));
1467  compExch_Layer_12_to_13_sites_22_26: compExch port map(A =>TobLayerIn(12)(22), B =>TobLayerIn(12)(26), H =>TobLayerOut(12)(22), L =>TobLayerOut(12)(26));
1468  compExch_Layer_12_to_13_sites_23_27: compExch port map(A =>TobLayerIn(12)(23), B =>TobLayerIn(12)(27), H =>TobLayerOut(12)(23), L =>TobLayerOut(12)(27));
1469 
1470  TobLayerOut(12)(0)<=TobLayerIn(12)(0);
1471  TobLayerOut(12)(1)<=TobLayerIn(12)(1);
1472  TobLayerOut(12)(2)<=TobLayerIn(12)(2);
1473  TobLayerOut(12)(3)<=TobLayerIn(12)(3);
1474  TobLayerOut(12)(28)<=TobLayerIn(12)(28);
1475  TobLayerOut(12)(29)<=TobLayerIn(12)(29);
1476  TobLayerOut(12)(30)<=TobLayerIn(12)(30);
1477  TobLayerOut(12)(31)<=TobLayerIn(12)(31);
1478 
1479 
1480  compExch_Layer_13_to_14_sites_02_04: compExch port map(A =>TobLayerIn(13)(2), B =>TobLayerIn(13)(4), H =>TobLayerOut(13)(2), L =>TobLayerOut(13)(4));
1481  compExch_Layer_13_to_14_sites_03_05: compExch port map(A =>TobLayerIn(13)(3), B =>TobLayerIn(13)(5), H =>TobLayerOut(13)(3), L =>TobLayerOut(13)(5));
1482  compExch_Layer_13_to_14_sites_06_08: compExch port map(A =>TobLayerIn(13)(6), B =>TobLayerIn(13)(8), H =>TobLayerOut(13)(6), L =>TobLayerOut(13)(8));
1483  compExch_Layer_13_to_14_sites_07_09: compExch port map(A =>TobLayerIn(13)(7), B =>TobLayerIn(13)(9), H =>TobLayerOut(13)(7), L =>TobLayerOut(13)(9));
1484  compExch_Layer_13_to_14_sites_10_12: compExch port map(A =>TobLayerIn(13)(10), B =>TobLayerIn(13)(12), H =>TobLayerOut(13)(10), L =>TobLayerOut(13)(12));
1485  compExch_Layer_13_to_14_sites_11_13: compExch port map(A =>TobLayerIn(13)(11), B =>TobLayerIn(13)(13), H =>TobLayerOut(13)(11), L =>TobLayerOut(13)(13));
1486  compExch_Layer_13_to_14_sites_14_16: compExch port map(A =>TobLayerIn(13)(14), B =>TobLayerIn(13)(16), H =>TobLayerOut(13)(14), L =>TobLayerOut(13)(16));
1487  compExch_Layer_13_to_14_sites_15_17: compExch port map(A =>TobLayerIn(13)(15), B =>TobLayerIn(13)(17), H =>TobLayerOut(13)(15), L =>TobLayerOut(13)(17));
1488  compExch_Layer_13_to_14_sites_18_20: compExch port map(A =>TobLayerIn(13)(18), B =>TobLayerIn(13)(20), H =>TobLayerOut(13)(18), L =>TobLayerOut(13)(20));
1489  compExch_Layer_13_to_14_sites_19_21: compExch port map(A =>TobLayerIn(13)(19), B =>TobLayerIn(13)(21), H =>TobLayerOut(13)(19), L =>TobLayerOut(13)(21));
1490  compExch_Layer_13_to_14_sites_22_24: compExch port map(A =>TobLayerIn(13)(22), B =>TobLayerIn(13)(24), H =>TobLayerOut(13)(22), L =>TobLayerOut(13)(24));
1491  compExch_Layer_13_to_14_sites_23_25: compExch port map(A =>TobLayerIn(13)(23), B =>TobLayerIn(13)(25), H =>TobLayerOut(13)(23), L =>TobLayerOut(13)(25));
1492  compExch_Layer_13_to_14_sites_26_28: compExch port map(A =>TobLayerIn(13)(26), B =>TobLayerIn(13)(28), H =>TobLayerOut(13)(26), L =>TobLayerOut(13)(28));
1493  compExch_Layer_13_to_14_sites_27_29: compExch port map(A =>TobLayerIn(13)(27), B =>TobLayerIn(13)(29), H =>TobLayerOut(13)(27), L =>TobLayerOut(13)(29));
1494 
1495  TobLayerOut(13)(0)<=TobLayerIn(13)(0);
1496  TobLayerOut(13)(1)<=TobLayerIn(13)(1);
1497  TobLayerOut(13)(30)<=TobLayerIn(13)(30);
1498  TobLayerOut(13)(31)<=TobLayerIn(13)(31);
1499 
1500 
1501  compExch_Layer_14_to_15_sites_01_02: compExch port map(A =>TobLayerIn(14)(1), B =>TobLayerIn(14)(2), H =>TobLayerOut(14)(1), L =>TobLayerOut(14)(2));
1502  compExch_Layer_14_to_15_sites_03_04: compExch port map(A =>TobLayerIn(14)(3), B =>TobLayerIn(14)(4), H =>TobLayerOut(14)(3), L =>TobLayerOut(14)(4));
1503  compExch_Layer_14_to_15_sites_05_06: compExch port map(A =>TobLayerIn(14)(5), B =>TobLayerIn(14)(6), H =>TobLayerOut(14)(5), L =>TobLayerOut(14)(6));
1504  compExch_Layer_14_to_15_sites_07_08: compExch port map(A =>TobLayerIn(14)(7), B =>TobLayerIn(14)(8), H =>TobLayerOut(14)(7), L =>TobLayerOut(14)(8));
1505  compExch_Layer_14_to_15_sites_09_10: compExch port map(A =>TobLayerIn(14)(9), B =>TobLayerIn(14)(10), H =>TobLayerOut(14)(9), L =>TobLayerOut(14)(10));
1506  compExch_Layer_14_to_15_sites_11_12: compExch port map(A =>TobLayerIn(14)(11), B =>TobLayerIn(14)(12), H =>TobLayerOut(14)(11), L =>TobLayerOut(14)(12));
1507  compExch_Layer_14_to_15_sites_13_14: compExch port map(A =>TobLayerIn(14)(13), B =>TobLayerIn(14)(14), H =>TobLayerOut(14)(13), L =>TobLayerOut(14)(14));
1508  compExch_Layer_14_to_15_sites_15_16: compExch port map(A =>TobLayerIn(14)(15), B =>TobLayerIn(14)(16), H =>TobLayerOut(14)(15), L =>TobLayerOut(14)(16));
1509  compExch_Layer_14_to_15_sites_17_18: compExch port map(A =>TobLayerIn(14)(17), B =>TobLayerIn(14)(18), H =>TobLayerOut(14)(17), L =>TobLayerOut(14)(18));
1510  compExch_Layer_14_to_15_sites_19_20: compExch port map(A =>TobLayerIn(14)(19), B =>TobLayerIn(14)(20), H =>TobLayerOut(14)(19), L =>TobLayerOut(14)(20));
1511  compExch_Layer_14_to_15_sites_21_22: compExch port map(A =>TobLayerIn(14)(21), B =>TobLayerIn(14)(22), H =>TobLayerOut(14)(21), L =>TobLayerOut(14)(22));
1512  compExch_Layer_14_to_15_sites_23_24: compExch port map(A =>TobLayerIn(14)(23), B =>TobLayerIn(14)(24), H =>TobLayerOut(14)(23), L =>TobLayerOut(14)(24));
1513  compExch_Layer_14_to_15_sites_25_26: compExch port map(A =>TobLayerIn(14)(25), B =>TobLayerIn(14)(26), H =>TobLayerOut(14)(25), L =>TobLayerOut(14)(26));
1514  compExch_Layer_14_to_15_sites_27_28: compExch port map(A =>TobLayerIn(14)(27), B =>TobLayerIn(14)(28), H =>TobLayerOut(14)(27), L =>TobLayerOut(14)(28));
1515  compExch_Layer_14_to_15_sites_29_30: compExch port map(A =>TobLayerIn(14)(29), B =>TobLayerIn(14)(30), H =>TobLayerOut(14)(29), L =>TobLayerOut(14)(30));
1516 
1517  TobLayerOut(14)(0)<=TobLayerIn(14)(0);
1518  TobLayerOut(14)(31)<=TobLayerIn(14)(31);
1519 
1520 
1521  compExch_Layer_10_to_11_sites_32_48: compExch port map(A =>TobLayerIn(10)(32), B =>TobLayerIn(10)(48), H =>TobLayerOut(10)(32), L =>TobLayerOut(10)(48));
1522  compExch_Layer_10_to_11_sites_33_49: compExch port map(A =>TobLayerIn(10)(33), B =>TobLayerIn(10)(49), H =>TobLayerOut(10)(33), L =>TobLayerOut(10)(49));
1523  compExch_Layer_10_to_11_sites_34_50: compExch port map(A =>TobLayerIn(10)(34), B =>TobLayerIn(10)(50), H =>TobLayerOut(10)(34), L =>TobLayerOut(10)(50));
1524  compExch_Layer_10_to_11_sites_35_51: compExch port map(A =>TobLayerIn(10)(35), B =>TobLayerIn(10)(51), H =>TobLayerOut(10)(35), L =>TobLayerOut(10)(51));
1525  compExch_Layer_10_to_11_sites_36_52: compExch port map(A =>TobLayerIn(10)(36), B =>TobLayerIn(10)(52), H =>TobLayerOut(10)(36), L =>TobLayerOut(10)(52));
1526  compExch_Layer_10_to_11_sites_37_53: compExch port map(A =>TobLayerIn(10)(37), B =>TobLayerIn(10)(53), H =>TobLayerOut(10)(37), L =>TobLayerOut(10)(53));
1527  compExch_Layer_10_to_11_sites_38_54: compExch port map(A =>TobLayerIn(10)(38), B =>TobLayerIn(10)(54), H =>TobLayerOut(10)(38), L =>TobLayerOut(10)(54));
1528  compExch_Layer_10_to_11_sites_39_55: compExch port map(A =>TobLayerIn(10)(39), B =>TobLayerIn(10)(55), H =>TobLayerOut(10)(39), L =>TobLayerOut(10)(55));
1529  compExch_Layer_10_to_11_sites_40_56: compExch port map(A =>TobLayerIn(10)(40), B =>TobLayerIn(10)(56), H =>TobLayerOut(10)(40), L =>TobLayerOut(10)(56));
1530  compExch_Layer_10_to_11_sites_41_57: compExch port map(A =>TobLayerIn(10)(41), B =>TobLayerIn(10)(57), H =>TobLayerOut(10)(41), L =>TobLayerOut(10)(57));
1531  compExch_Layer_10_to_11_sites_42_58: compExch port map(A =>TobLayerIn(10)(42), B =>TobLayerIn(10)(58), H =>TobLayerOut(10)(42), L =>TobLayerOut(10)(58));
1532  compExch_Layer_10_to_11_sites_43_59: compExch port map(A =>TobLayerIn(10)(43), B =>TobLayerIn(10)(59), H =>TobLayerOut(10)(43), L =>TobLayerOut(10)(59));
1533  compExch_Layer_10_to_11_sites_44_60: compExch port map(A =>TobLayerIn(10)(44), B =>TobLayerIn(10)(60), H =>TobLayerOut(10)(44), L =>TobLayerOut(10)(60));
1534  compExch_Layer_10_to_11_sites_45_61: compExch port map(A =>TobLayerIn(10)(45), B =>TobLayerIn(10)(61), H =>TobLayerOut(10)(45), L =>TobLayerOut(10)(61));
1535  compExch_Layer_10_to_11_sites_46_62: compExch port map(A =>TobLayerIn(10)(46), B =>TobLayerIn(10)(62), H =>TobLayerOut(10)(46), L =>TobLayerOut(10)(62));
1536  compExch_Layer_10_to_11_sites_47_63: compExch port map(A =>TobLayerIn(10)(47), B =>TobLayerIn(10)(63), H =>TobLayerOut(10)(47), L =>TobLayerOut(10)(63));
1537 
1538 
1539 
1540  compExch_Layer_11_to_12_sites_40_48: compExch port map(A =>TobLayerIn(11)(40), B =>TobLayerIn(11)(48), H =>TobLayerOut(11)(40), L =>TobLayerOut(11)(48));
1541  compExch_Layer_11_to_12_sites_41_49: compExch port map(A =>TobLayerIn(11)(41), B =>TobLayerIn(11)(49), H =>TobLayerOut(11)(41), L =>TobLayerOut(11)(49));
1542  compExch_Layer_11_to_12_sites_42_50: compExch port map(A =>TobLayerIn(11)(42), B =>TobLayerIn(11)(50), H =>TobLayerOut(11)(42), L =>TobLayerOut(11)(50));
1543  compExch_Layer_11_to_12_sites_43_51: compExch port map(A =>TobLayerIn(11)(43), B =>TobLayerIn(11)(51), H =>TobLayerOut(11)(43), L =>TobLayerOut(11)(51));
1544  compExch_Layer_11_to_12_sites_44_52: compExch port map(A =>TobLayerIn(11)(44), B =>TobLayerIn(11)(52), H =>TobLayerOut(11)(44), L =>TobLayerOut(11)(52));
1545  compExch_Layer_11_to_12_sites_45_53: compExch port map(A =>TobLayerIn(11)(45), B =>TobLayerIn(11)(53), H =>TobLayerOut(11)(45), L =>TobLayerOut(11)(53));
1546  compExch_Layer_11_to_12_sites_46_54: compExch port map(A =>TobLayerIn(11)(46), B =>TobLayerIn(11)(54), H =>TobLayerOut(11)(46), L =>TobLayerOut(11)(54));
1547  compExch_Layer_11_to_12_sites_47_55: compExch port map(A =>TobLayerIn(11)(47), B =>TobLayerIn(11)(55), H =>TobLayerOut(11)(47), L =>TobLayerOut(11)(55));
1548 
1549  TobLayerOut(11)(32)<=TobLayerIn(11)(32);
1550  TobLayerOut(11)(33)<=TobLayerIn(11)(33);
1551  TobLayerOut(11)(34)<=TobLayerIn(11)(34);
1552  TobLayerOut(11)(35)<=TobLayerIn(11)(35);
1553  TobLayerOut(11)(36)<=TobLayerIn(11)(36);
1554  TobLayerOut(11)(37)<=TobLayerIn(11)(37);
1555  TobLayerOut(11)(38)<=TobLayerIn(11)(38);
1556  TobLayerOut(11)(39)<=TobLayerIn(11)(39);
1557  TobLayerOut(11)(56)<=TobLayerIn(11)(56);
1558  TobLayerOut(11)(57)<=TobLayerIn(11)(57);
1559  TobLayerOut(11)(58)<=TobLayerIn(11)(58);
1560  TobLayerOut(11)(59)<=TobLayerIn(11)(59);
1561  TobLayerOut(11)(60)<=TobLayerIn(11)(60);
1562  TobLayerOut(11)(61)<=TobLayerIn(11)(61);
1563  TobLayerOut(11)(62)<=TobLayerIn(11)(62);
1564  TobLayerOut(11)(63)<=TobLayerIn(11)(63);
1565 
1566 
1567  compExch_Layer_12_to_13_sites_36_40: compExch port map(A =>TobLayerIn(12)(36), B =>TobLayerIn(12)(40), H =>TobLayerOut(12)(36), L =>TobLayerOut(12)(40));
1568  compExch_Layer_12_to_13_sites_37_41: compExch port map(A =>TobLayerIn(12)(37), B =>TobLayerIn(12)(41), H =>TobLayerOut(12)(37), L =>TobLayerOut(12)(41));
1569  compExch_Layer_12_to_13_sites_38_42: compExch port map(A =>TobLayerIn(12)(38), B =>TobLayerIn(12)(42), H =>TobLayerOut(12)(38), L =>TobLayerOut(12)(42));
1570  compExch_Layer_12_to_13_sites_39_43: compExch port map(A =>TobLayerIn(12)(39), B =>TobLayerIn(12)(43), H =>TobLayerOut(12)(39), L =>TobLayerOut(12)(43));
1571  compExch_Layer_12_to_13_sites_44_48: compExch port map(A =>TobLayerIn(12)(44), B =>TobLayerIn(12)(48), H =>TobLayerOut(12)(44), L =>TobLayerOut(12)(48));
1572  compExch_Layer_12_to_13_sites_45_49: compExch port map(A =>TobLayerIn(12)(45), B =>TobLayerIn(12)(49), H =>TobLayerOut(12)(45), L =>TobLayerOut(12)(49));
1573  compExch_Layer_12_to_13_sites_46_50: compExch port map(A =>TobLayerIn(12)(46), B =>TobLayerIn(12)(50), H =>TobLayerOut(12)(46), L =>TobLayerOut(12)(50));
1574  compExch_Layer_12_to_13_sites_47_51: compExch port map(A =>TobLayerIn(12)(47), B =>TobLayerIn(12)(51), H =>TobLayerOut(12)(47), L =>TobLayerOut(12)(51));
1575  compExch_Layer_12_to_13_sites_52_56: compExch port map(A =>TobLayerIn(12)(52), B =>TobLayerIn(12)(56), H =>TobLayerOut(12)(52), L =>TobLayerOut(12)(56));
1576  compExch_Layer_12_to_13_sites_53_57: compExch port map(A =>TobLayerIn(12)(53), B =>TobLayerIn(12)(57), H =>TobLayerOut(12)(53), L =>TobLayerOut(12)(57));
1577  compExch_Layer_12_to_13_sites_54_58: compExch port map(A =>TobLayerIn(12)(54), B =>TobLayerIn(12)(58), H =>TobLayerOut(12)(54), L =>TobLayerOut(12)(58));
1578  compExch_Layer_12_to_13_sites_55_59: compExch port map(A =>TobLayerIn(12)(55), B =>TobLayerIn(12)(59), H =>TobLayerOut(12)(55), L =>TobLayerOut(12)(59));
1579 
1580  TobLayerOut(12)(32)<=TobLayerIn(12)(32);
1581  TobLayerOut(12)(33)<=TobLayerIn(12)(33);
1582  TobLayerOut(12)(34)<=TobLayerIn(12)(34);
1583  TobLayerOut(12)(35)<=TobLayerIn(12)(35);
1584  TobLayerOut(12)(60)<=TobLayerIn(12)(60);
1585  TobLayerOut(12)(61)<=TobLayerIn(12)(61);
1586  TobLayerOut(12)(62)<=TobLayerIn(12)(62);
1587  TobLayerOut(12)(63)<=TobLayerIn(12)(63);
1588 
1589 
1590  compExch_Layer_13_to_14_sites_34_36: compExch port map(A =>TobLayerIn(13)(34), B =>TobLayerIn(13)(36), H =>TobLayerOut(13)(34), L =>TobLayerOut(13)(36));
1591  compExch_Layer_13_to_14_sites_35_37: compExch port map(A =>TobLayerIn(13)(35), B =>TobLayerIn(13)(37), H =>TobLayerOut(13)(35), L =>TobLayerOut(13)(37));
1592  compExch_Layer_13_to_14_sites_38_40: compExch port map(A =>TobLayerIn(13)(38), B =>TobLayerIn(13)(40), H =>TobLayerOut(13)(38), L =>TobLayerOut(13)(40));
1593  compExch_Layer_13_to_14_sites_39_41: compExch port map(A =>TobLayerIn(13)(39), B =>TobLayerIn(13)(41), H =>TobLayerOut(13)(39), L =>TobLayerOut(13)(41));
1594  compExch_Layer_13_to_14_sites_42_44: compExch port map(A =>TobLayerIn(13)(42), B =>TobLayerIn(13)(44), H =>TobLayerOut(13)(42), L =>TobLayerOut(13)(44));
1595  compExch_Layer_13_to_14_sites_43_45: compExch port map(A =>TobLayerIn(13)(43), B =>TobLayerIn(13)(45), H =>TobLayerOut(13)(43), L =>TobLayerOut(13)(45));
1596  compExch_Layer_13_to_14_sites_46_48: compExch port map(A =>TobLayerIn(13)(46), B =>TobLayerIn(13)(48), H =>TobLayerOut(13)(46), L =>TobLayerOut(13)(48));
1597  compExch_Layer_13_to_14_sites_47_49: compExch port map(A =>TobLayerIn(13)(47), B =>TobLayerIn(13)(49), H =>TobLayerOut(13)(47), L =>TobLayerOut(13)(49));
1598  compExch_Layer_13_to_14_sites_50_52: compExch port map(A =>TobLayerIn(13)(50), B =>TobLayerIn(13)(52), H =>TobLayerOut(13)(50), L =>TobLayerOut(13)(52));
1599  compExch_Layer_13_to_14_sites_51_53: compExch port map(A =>TobLayerIn(13)(51), B =>TobLayerIn(13)(53), H =>TobLayerOut(13)(51), L =>TobLayerOut(13)(53));
1600  compExch_Layer_13_to_14_sites_54_56: compExch port map(A =>TobLayerIn(13)(54), B =>TobLayerIn(13)(56), H =>TobLayerOut(13)(54), L =>TobLayerOut(13)(56));
1601  compExch_Layer_13_to_14_sites_55_57: compExch port map(A =>TobLayerIn(13)(55), B =>TobLayerIn(13)(57), H =>TobLayerOut(13)(55), L =>TobLayerOut(13)(57));
1602  compExch_Layer_13_to_14_sites_58_60: compExch port map(A =>TobLayerIn(13)(58), B =>TobLayerIn(13)(60), H =>TobLayerOut(13)(58), L =>TobLayerOut(13)(60));
1603  compExch_Layer_13_to_14_sites_59_61: compExch port map(A =>TobLayerIn(13)(59), B =>TobLayerIn(13)(61), H =>TobLayerOut(13)(59), L =>TobLayerOut(13)(61));
1604 
1605  TobLayerOut(13)(32)<=TobLayerIn(13)(32);
1606  TobLayerOut(13)(33)<=TobLayerIn(13)(33);
1607  TobLayerOut(13)(62)<=TobLayerIn(13)(62);
1608  TobLayerOut(13)(63)<=TobLayerIn(13)(63);
1609 
1610 
1611  compExch_Layer_14_to_15_sites_33_34: compExch port map(A =>TobLayerIn(14)(33), B =>TobLayerIn(14)(34), H =>TobLayerOut(14)(33), L =>TobLayerOut(14)(34));
1612  compExch_Layer_14_to_15_sites_35_36: compExch port map(A =>TobLayerIn(14)(35), B =>TobLayerIn(14)(36), H =>TobLayerOut(14)(35), L =>TobLayerOut(14)(36));
1613  compExch_Layer_14_to_15_sites_37_38: compExch port map(A =>TobLayerIn(14)(37), B =>TobLayerIn(14)(38), H =>TobLayerOut(14)(37), L =>TobLayerOut(14)(38));
1614  compExch_Layer_14_to_15_sites_39_40: compExch port map(A =>TobLayerIn(14)(39), B =>TobLayerIn(14)(40), H =>TobLayerOut(14)(39), L =>TobLayerOut(14)(40));
1615  compExch_Layer_14_to_15_sites_41_42: compExch port map(A =>TobLayerIn(14)(41), B =>TobLayerIn(14)(42), H =>TobLayerOut(14)(41), L =>TobLayerOut(14)(42));
1616  compExch_Layer_14_to_15_sites_43_44: compExch port map(A =>TobLayerIn(14)(43), B =>TobLayerIn(14)(44), H =>TobLayerOut(14)(43), L =>TobLayerOut(14)(44));
1617  compExch_Layer_14_to_15_sites_45_46: compExch port map(A =>TobLayerIn(14)(45), B =>TobLayerIn(14)(46), H =>TobLayerOut(14)(45), L =>TobLayerOut(14)(46));
1618  compExch_Layer_14_to_15_sites_47_48: compExch port map(A =>TobLayerIn(14)(47), B =>TobLayerIn(14)(48), H =>TobLayerOut(14)(47), L =>TobLayerOut(14)(48));
1619  compExch_Layer_14_to_15_sites_49_50: compExch port map(A =>TobLayerIn(14)(49), B =>TobLayerIn(14)(50), H =>TobLayerOut(14)(49), L =>TobLayerOut(14)(50));
1620  compExch_Layer_14_to_15_sites_51_52: compExch port map(A =>TobLayerIn(14)(51), B =>TobLayerIn(14)(52), H =>TobLayerOut(14)(51), L =>TobLayerOut(14)(52));
1621  compExch_Layer_14_to_15_sites_53_54: compExch port map(A =>TobLayerIn(14)(53), B =>TobLayerIn(14)(54), H =>TobLayerOut(14)(53), L =>TobLayerOut(14)(54));
1622  compExch_Layer_14_to_15_sites_55_56: compExch port map(A =>TobLayerIn(14)(55), B =>TobLayerIn(14)(56), H =>TobLayerOut(14)(55), L =>TobLayerOut(14)(56));
1623  compExch_Layer_14_to_15_sites_57_58: compExch port map(A =>TobLayerIn(14)(57), B =>TobLayerIn(14)(58), H =>TobLayerOut(14)(57), L =>TobLayerOut(14)(58));
1624  compExch_Layer_14_to_15_sites_59_60: compExch port map(A =>TobLayerIn(14)(59), B =>TobLayerIn(14)(60), H =>TobLayerOut(14)(59), L =>TobLayerOut(14)(60));
1625  compExch_Layer_14_to_15_sites_61_62: compExch port map(A =>TobLayerIn(14)(61), B =>TobLayerIn(14)(62), H =>TobLayerOut(14)(61), L =>TobLayerOut(14)(62));
1626 
1627  TobLayerOut(14)(32)<=TobLayerIn(14)(32);
1628  TobLayerOut(14)(63)<=TobLayerIn(14)(63);
1629 
1630 
1631  compExch_Layer_15_to_16_sites_00_32: compExch port map(A =>TobLayerIn(15)(0), B =>TobLayerIn(15)(32), H =>TobLayerOut(15)(0), L =>TobLayerOut(15)(32));
1632  compExch_Layer_15_to_16_sites_01_33: compExch port map(A =>TobLayerIn(15)(1), B =>TobLayerIn(15)(33), H =>TobLayerOut(15)(1), L =>TobLayerOut(15)(33));
1633  compExch_Layer_15_to_16_sites_02_34: compExch port map(A =>TobLayerIn(15)(2), B =>TobLayerIn(15)(34), H =>TobLayerOut(15)(2), L =>TobLayerOut(15)(34));
1634  compExch_Layer_15_to_16_sites_03_35: compExch port map(A =>TobLayerIn(15)(3), B =>TobLayerIn(15)(35), H =>TobLayerOut(15)(3), L =>TobLayerOut(15)(35));
1635  compExch_Layer_15_to_16_sites_04_36: compExch port map(A =>TobLayerIn(15)(4), B =>TobLayerIn(15)(36), H =>TobLayerOut(15)(4), L =>TobLayerOut(15)(36));
1636  compExch_Layer_15_to_16_sites_05_37: compExch port map(A =>TobLayerIn(15)(5), B =>TobLayerIn(15)(37), H =>TobLayerOut(15)(5), L =>TobLayerOut(15)(37));
1637  compExch_Layer_15_to_16_sites_06_38: compExch port map(A =>TobLayerIn(15)(6), B =>TobLayerIn(15)(38), H =>TobLayerOut(15)(6), L =>TobLayerOut(15)(38));
1638  compExch_Layer_15_to_16_sites_07_39: compExch port map(A =>TobLayerIn(15)(7), B =>TobLayerIn(15)(39), H =>TobLayerOut(15)(7), L =>TobLayerOut(15)(39));
1639  compExch_Layer_15_to_16_sites_08_40: compExch port map(A =>TobLayerIn(15)(8), B =>TobLayerIn(15)(40), H =>TobLayerOut(15)(8), L =>TobLayerOut(15)(40));
1640  compExch_Layer_15_to_16_sites_09_41: compExch port map(A =>TobLayerIn(15)(9), B =>TobLayerIn(15)(41), H =>TobLayerOut(15)(9), L =>TobLayerOut(15)(41));
1641  compExch_Layer_15_to_16_sites_10_42: compExch port map(A =>TobLayerIn(15)(10), B =>TobLayerIn(15)(42), H =>TobLayerOut(15)(10), L =>TobLayerOut(15)(42));
1642  compExch_Layer_15_to_16_sites_11_43: compExch port map(A =>TobLayerIn(15)(11), B =>TobLayerIn(15)(43), H =>TobLayerOut(15)(11), L =>TobLayerOut(15)(43));
1643  compExch_Layer_15_to_16_sites_12_44: compExch port map(A =>TobLayerIn(15)(12), B =>TobLayerIn(15)(44), H =>TobLayerOut(15)(12), L =>TobLayerOut(15)(44));
1644  compExch_Layer_15_to_16_sites_13_45: compExch port map(A =>TobLayerIn(15)(13), B =>TobLayerIn(15)(45), H =>TobLayerOut(15)(13), L =>TobLayerOut(15)(45));
1645  compExch_Layer_15_to_16_sites_14_46: compExch port map(A =>TobLayerIn(15)(14), B =>TobLayerIn(15)(46), H =>TobLayerOut(15)(14), L =>TobLayerOut(15)(46));
1646  compExch_Layer_15_to_16_sites_15_47: compExch port map(A =>TobLayerIn(15)(15), B =>TobLayerIn(15)(47), H =>TobLayerOut(15)(15), L =>TobLayerOut(15)(47));
1647  compExch_Layer_15_to_16_sites_16_48: compExch port map(A =>TobLayerIn(15)(16), B =>TobLayerIn(15)(48), H =>TobLayerOut(15)(16), L =>TobLayerOut(15)(48));
1648  compExch_Layer_15_to_16_sites_17_49: compExch port map(A =>TobLayerIn(15)(17), B =>TobLayerIn(15)(49), H =>TobLayerOut(15)(17), L =>TobLayerOut(15)(49));
1649  compExch_Layer_15_to_16_sites_18_50: compExch port map(A =>TobLayerIn(15)(18), B =>TobLayerIn(15)(50), H =>TobLayerOut(15)(18), L =>TobLayerOut(15)(50));
1650  compExch_Layer_15_to_16_sites_19_51: compExch port map(A =>TobLayerIn(15)(19), B =>TobLayerIn(15)(51), H =>TobLayerOut(15)(19), L =>TobLayerOut(15)(51));
1651  compExch_Layer_15_to_16_sites_20_52: compExch port map(A =>TobLayerIn(15)(20), B =>TobLayerIn(15)(52), H =>TobLayerOut(15)(20), L =>TobLayerOut(15)(52));
1652  compExch_Layer_15_to_16_sites_21_53: compExch port map(A =>TobLayerIn(15)(21), B =>TobLayerIn(15)(53), H =>TobLayerOut(15)(21), L =>TobLayerOut(15)(53));
1653  compExch_Layer_15_to_16_sites_22_54: compExch port map(A =>TobLayerIn(15)(22), B =>TobLayerIn(15)(54), H =>TobLayerOut(15)(22), L =>TobLayerOut(15)(54));
1654  compExch_Layer_15_to_16_sites_23_55: compExch port map(A =>TobLayerIn(15)(23), B =>TobLayerIn(15)(55), H =>TobLayerOut(15)(23), L =>TobLayerOut(15)(55));
1655  compExch_Layer_15_to_16_sites_24_56: compExch port map(A =>TobLayerIn(15)(24), B =>TobLayerIn(15)(56), H =>TobLayerOut(15)(24), L =>TobLayerOut(15)(56));
1656  compExch_Layer_15_to_16_sites_25_57: compExch port map(A =>TobLayerIn(15)(25), B =>TobLayerIn(15)(57), H =>TobLayerOut(15)(25), L =>TobLayerOut(15)(57));
1657  compExch_Layer_15_to_16_sites_26_58: compExch port map(A =>TobLayerIn(15)(26), B =>TobLayerIn(15)(58), H =>TobLayerOut(15)(26), L =>TobLayerOut(15)(58));
1658  compExch_Layer_15_to_16_sites_27_59: compExch port map(A =>TobLayerIn(15)(27), B =>TobLayerIn(15)(59), H =>TobLayerOut(15)(27), L =>TobLayerOut(15)(59));
1659  compExch_Layer_15_to_16_sites_28_60: compExch port map(A =>TobLayerIn(15)(28), B =>TobLayerIn(15)(60), H =>TobLayerOut(15)(28), L =>TobLayerOut(15)(60));
1660  compExch_Layer_15_to_16_sites_29_61: compExch port map(A =>TobLayerIn(15)(29), B =>TobLayerIn(15)(61), H =>TobLayerOut(15)(29), L =>TobLayerOut(15)(61));
1661  compExch_Layer_15_to_16_sites_30_62: compExch port map(A =>TobLayerIn(15)(30), B =>TobLayerIn(15)(62), H =>TobLayerOut(15)(30), L =>TobLayerOut(15)(62));
1662  compExch_Layer_15_to_16_sites_31_63: compExch port map(A =>TobLayerIn(15)(31), B =>TobLayerIn(15)(63), H =>TobLayerOut(15)(31), L =>TobLayerOut(15)(63));
1663 
1664 
1665 
1666  compExch_Layer_16_to_17_sites_16_32: compExch port map(A =>TobLayerIn(16)(16), B =>TobLayerIn(16)(32), H =>TobLayerOut(16)(16), L =>TobLayerOut(16)(32));
1667  compExch_Layer_16_to_17_sites_17_33: compExch port map(A =>TobLayerIn(16)(17), B =>TobLayerIn(16)(33), H =>TobLayerOut(16)(17), L =>TobLayerOut(16)(33));
1668  compExch_Layer_16_to_17_sites_18_34: compExch port map(A =>TobLayerIn(16)(18), B =>TobLayerIn(16)(34), H =>TobLayerOut(16)(18), L =>TobLayerOut(16)(34));
1669  compExch_Layer_16_to_17_sites_19_35: compExch port map(A =>TobLayerIn(16)(19), B =>TobLayerIn(16)(35), H =>TobLayerOut(16)(19), L =>TobLayerOut(16)(35));
1670  compExch_Layer_16_to_17_sites_20_36: compExch port map(A =>TobLayerIn(16)(20), B =>TobLayerIn(16)(36), H =>TobLayerOut(16)(20), L =>TobLayerOut(16)(36));
1671  compExch_Layer_16_to_17_sites_21_37: compExch port map(A =>TobLayerIn(16)(21), B =>TobLayerIn(16)(37), H =>TobLayerOut(16)(21), L =>TobLayerOut(16)(37));
1672  compExch_Layer_16_to_17_sites_22_38: compExch port map(A =>TobLayerIn(16)(22), B =>TobLayerIn(16)(38), H =>TobLayerOut(16)(22), L =>TobLayerOut(16)(38));
1673  compExch_Layer_16_to_17_sites_23_39: compExch port map(A =>TobLayerIn(16)(23), B =>TobLayerIn(16)(39), H =>TobLayerOut(16)(23), L =>TobLayerOut(16)(39));
1674  compExch_Layer_16_to_17_sites_24_40: compExch port map(A =>TobLayerIn(16)(24), B =>TobLayerIn(16)(40), H =>TobLayerOut(16)(24), L =>TobLayerOut(16)(40));
1675  compExch_Layer_16_to_17_sites_25_41: compExch port map(A =>TobLayerIn(16)(25), B =>TobLayerIn(16)(41), H =>TobLayerOut(16)(25), L =>TobLayerOut(16)(41));
1676  compExch_Layer_16_to_17_sites_26_42: compExch port map(A =>TobLayerIn(16)(26), B =>TobLayerIn(16)(42), H =>TobLayerOut(16)(26), L =>TobLayerOut(16)(42));
1677  compExch_Layer_16_to_17_sites_27_43: compExch port map(A =>TobLayerIn(16)(27), B =>TobLayerIn(16)(43), H =>TobLayerOut(16)(27), L =>TobLayerOut(16)(43));
1678  compExch_Layer_16_to_17_sites_28_44: compExch port map(A =>TobLayerIn(16)(28), B =>TobLayerIn(16)(44), H =>TobLayerOut(16)(28), L =>TobLayerOut(16)(44));
1679  compExch_Layer_16_to_17_sites_29_45: compExch port map(A =>TobLayerIn(16)(29), B =>TobLayerIn(16)(45), H =>TobLayerOut(16)(29), L =>TobLayerOut(16)(45));
1680  compExch_Layer_16_to_17_sites_30_46: compExch port map(A =>TobLayerIn(16)(30), B =>TobLayerIn(16)(46), H =>TobLayerOut(16)(30), L =>TobLayerOut(16)(46));
1681  compExch_Layer_16_to_17_sites_31_47: compExch port map(A =>TobLayerIn(16)(31), B =>TobLayerIn(16)(47), H =>TobLayerOut(16)(31), L =>TobLayerOut(16)(47));
1682 
1683  TobLayerOut(16)(0)<=TobLayerIn(16)(0);
1684  TobLayerOut(16)(1)<=TobLayerIn(16)(1);
1685  TobLayerOut(16)(2)<=TobLayerIn(16)(2);
1686  TobLayerOut(16)(3)<=TobLayerIn(16)(3);
1687  TobLayerOut(16)(4)<=TobLayerIn(16)(4);
1688  TobLayerOut(16)(5)<=TobLayerIn(16)(5);
1689  TobLayerOut(16)(6)<=TobLayerIn(16)(6);
1690  TobLayerOut(16)(7)<=TobLayerIn(16)(7);
1691  TobLayerOut(16)(8)<=TobLayerIn(16)(8);
1692  TobLayerOut(16)(9)<=TobLayerIn(16)(9);
1693  TobLayerOut(16)(10)<=TobLayerIn(16)(10);
1694  TobLayerOut(16)(11)<=TobLayerIn(16)(11);
1695  TobLayerOut(16)(12)<=TobLayerIn(16)(12);
1696  TobLayerOut(16)(13)<=TobLayerIn(16)(13);
1697  TobLayerOut(16)(14)<=TobLayerIn(16)(14);
1698  TobLayerOut(16)(15)<=TobLayerIn(16)(15);
1699  TobLayerOut(16)(48)<=TobLayerIn(16)(48);
1700  TobLayerOut(16)(49)<=TobLayerIn(16)(49);
1701  TobLayerOut(16)(50)<=TobLayerIn(16)(50);
1702  TobLayerOut(16)(51)<=TobLayerIn(16)(51);
1703  TobLayerOut(16)(52)<=TobLayerIn(16)(52);
1704  TobLayerOut(16)(53)<=TobLayerIn(16)(53);
1705  TobLayerOut(16)(54)<=TobLayerIn(16)(54);
1706  TobLayerOut(16)(55)<=TobLayerIn(16)(55);
1707  TobLayerOut(16)(56)<=TobLayerIn(16)(56);
1708  TobLayerOut(16)(57)<=TobLayerIn(16)(57);
1709  TobLayerOut(16)(58)<=TobLayerIn(16)(58);
1710  TobLayerOut(16)(59)<=TobLayerIn(16)(59);
1711  TobLayerOut(16)(60)<=TobLayerIn(16)(60);
1712  TobLayerOut(16)(61)<=TobLayerIn(16)(61);
1713  TobLayerOut(16)(62)<=TobLayerIn(16)(62);
1714  TobLayerOut(16)(63)<=TobLayerIn(16)(63);
1715 
1716 
1717  compExch_Layer_17_to_18_sites_08_16: compExch port map(A =>TobLayerIn(17)(8), B =>TobLayerIn(17)(16), H =>TobLayerOut(17)(8), L =>TobLayerOut(17)(16));
1718  compExch_Layer_17_to_18_sites_09_17: compExch port map(A =>TobLayerIn(17)(9), B =>TobLayerIn(17)(17), H =>TobLayerOut(17)(9), L =>TobLayerOut(17)(17));
1719  compExch_Layer_17_to_18_sites_10_18: compExch port map(A =>TobLayerIn(17)(10), B =>TobLayerIn(17)(18), H =>TobLayerOut(17)(10), L =>TobLayerOut(17)(18));
1720  compExch_Layer_17_to_18_sites_11_19: compExch port map(A =>TobLayerIn(17)(11), B =>TobLayerIn(17)(19), H =>TobLayerOut(17)(11), L =>TobLayerOut(17)(19));
1721  compExch_Layer_17_to_18_sites_12_20: compExch port map(A =>TobLayerIn(17)(12), B =>TobLayerIn(17)(20), H =>TobLayerOut(17)(12), L =>TobLayerOut(17)(20));
1722  compExch_Layer_17_to_18_sites_13_21: compExch port map(A =>TobLayerIn(17)(13), B =>TobLayerIn(17)(21), H =>TobLayerOut(17)(13), L =>TobLayerOut(17)(21));
1723  compExch_Layer_17_to_18_sites_14_22: compExch port map(A =>TobLayerIn(17)(14), B =>TobLayerIn(17)(22), H =>TobLayerOut(17)(14), L =>TobLayerOut(17)(22));
1724  compExch_Layer_17_to_18_sites_15_23: compExch port map(A =>TobLayerIn(17)(15), B =>TobLayerIn(17)(23), H =>TobLayerOut(17)(15), L =>TobLayerOut(17)(23));
1725  compExch_Layer_17_to_18_sites_24_32: compExch port map(A =>TobLayerIn(17)(24), B =>TobLayerIn(17)(32), H =>TobLayerOut(17)(24), L =>TobLayerOut(17)(32));
1726  compExch_Layer_17_to_18_sites_25_33: compExch port map(A =>TobLayerIn(17)(25), B =>TobLayerIn(17)(33), H =>TobLayerOut(17)(25), L =>TobLayerOut(17)(33));
1727  compExch_Layer_17_to_18_sites_26_34: compExch port map(A =>TobLayerIn(17)(26), B =>TobLayerIn(17)(34), H =>TobLayerOut(17)(26), L =>TobLayerOut(17)(34));
1728  compExch_Layer_17_to_18_sites_27_35: compExch port map(A =>TobLayerIn(17)(27), B =>TobLayerIn(17)(35), H =>TobLayerOut(17)(27), L =>TobLayerOut(17)(35));
1729  compExch_Layer_17_to_18_sites_28_36: compExch port map(A =>TobLayerIn(17)(28), B =>TobLayerIn(17)(36), H =>TobLayerOut(17)(28), L =>TobLayerOut(17)(36));
1730  compExch_Layer_17_to_18_sites_29_37: compExch port map(A =>TobLayerIn(17)(29), B =>TobLayerIn(17)(37), H =>TobLayerOut(17)(29), L =>TobLayerOut(17)(37));
1731  compExch_Layer_17_to_18_sites_30_38: compExch port map(A =>TobLayerIn(17)(30), B =>TobLayerIn(17)(38), H =>TobLayerOut(17)(30), L =>TobLayerOut(17)(38));
1732  compExch_Layer_17_to_18_sites_31_39: compExch port map(A =>TobLayerIn(17)(31), B =>TobLayerIn(17)(39), H =>TobLayerOut(17)(31), L =>TobLayerOut(17)(39));
1733  compExch_Layer_17_to_18_sites_40_48: compExch port map(A =>TobLayerIn(17)(40), B =>TobLayerIn(17)(48), H =>TobLayerOut(17)(40), L =>TobLayerOut(17)(48));
1734  compExch_Layer_17_to_18_sites_41_49: compExch port map(A =>TobLayerIn(17)(41), B =>TobLayerIn(17)(49), H =>TobLayerOut(17)(41), L =>TobLayerOut(17)(49));
1735  compExch_Layer_17_to_18_sites_42_50: compExch port map(A =>TobLayerIn(17)(42), B =>TobLayerIn(17)(50), H =>TobLayerOut(17)(42), L =>TobLayerOut(17)(50));
1736  compExch_Layer_17_to_18_sites_43_51: compExch port map(A =>TobLayerIn(17)(43), B =>TobLayerIn(17)(51), H =>TobLayerOut(17)(43), L =>TobLayerOut(17)(51));
1737  compExch_Layer_17_to_18_sites_44_52: compExch port map(A =>TobLayerIn(17)(44), B =>TobLayerIn(17)(52), H =>TobLayerOut(17)(44), L =>TobLayerOut(17)(52));
1738  compExch_Layer_17_to_18_sites_45_53: compExch port map(A =>TobLayerIn(17)(45), B =>TobLayerIn(17)(53), H =>TobLayerOut(17)(45), L =>TobLayerOut(17)(53));
1739  compExch_Layer_17_to_18_sites_46_54: compExch port map(A =>TobLayerIn(17)(46), B =>TobLayerIn(17)(54), H =>TobLayerOut(17)(46), L =>TobLayerOut(17)(54));
1740  compExch_Layer_17_to_18_sites_47_55: compExch port map(A =>TobLayerIn(17)(47), B =>TobLayerIn(17)(55), H =>TobLayerOut(17)(47), L =>TobLayerOut(17)(55));
1741 
1742  TobLayerOut(17)(0)<=TobLayerIn(17)(0);
1743  TobLayerOut(17)(1)<=TobLayerIn(17)(1);
1744  TobLayerOut(17)(2)<=TobLayerIn(17)(2);
1745  TobLayerOut(17)(3)<=TobLayerIn(17)(3);
1746  TobLayerOut(17)(4)<=TobLayerIn(17)(4);
1747  TobLayerOut(17)(5)<=TobLayerIn(17)(5);
1748  TobLayerOut(17)(6)<=TobLayerIn(17)(6);
1749  TobLayerOut(17)(7)<=TobLayerIn(17)(7);
1750  TobLayerOut(17)(56)<=TobLayerIn(17)(56);
1751  TobLayerOut(17)(57)<=TobLayerIn(17)(57);
1752  TobLayerOut(17)(58)<=TobLayerIn(17)(58);
1753  TobLayerOut(17)(59)<=TobLayerIn(17)(59);
1754  TobLayerOut(17)(60)<=TobLayerIn(17)(60);
1755  TobLayerOut(17)(61)<=TobLayerIn(17)(61);
1756  TobLayerOut(17)(62)<=TobLayerIn(17)(62);
1757  TobLayerOut(17)(63)<=TobLayerIn(17)(63);
1758 
1759 
1760  compExch_Layer_18_to_19_sites_04_08: compExch port map(A =>TobLayerIn(18)(4), B =>TobLayerIn(18)(8), H =>TobLayerOut(18)(4), L =>TobLayerOut(18)(8));
1761  compExch_Layer_18_to_19_sites_05_09: compExch port map(A =>TobLayerIn(18)(5), B =>TobLayerIn(18)(9), H =>TobLayerOut(18)(5), L =>TobLayerOut(18)(9));
1762  compExch_Layer_18_to_19_sites_06_10: compExch port map(A =>TobLayerIn(18)(6), B =>TobLayerIn(18)(10), H =>TobLayerOut(18)(6), L =>TobLayerOut(18)(10));
1763  compExch_Layer_18_to_19_sites_07_11: compExch port map(A =>TobLayerIn(18)(7), B =>TobLayerIn(18)(11), H =>TobLayerOut(18)(7), L =>TobLayerOut(18)(11));
1764  compExch_Layer_18_to_19_sites_12_16: compExch port map(A =>TobLayerIn(18)(12), B =>TobLayerIn(18)(16), H =>TobLayerOut(18)(12), L =>TobLayerOut(18)(16));
1765  compExch_Layer_18_to_19_sites_13_17: compExch port map(A =>TobLayerIn(18)(13), B =>TobLayerIn(18)(17), H =>TobLayerOut(18)(13), L =>TobLayerOut(18)(17));
1766  compExch_Layer_18_to_19_sites_14_18: compExch port map(A =>TobLayerIn(18)(14), B =>TobLayerIn(18)(18), H =>TobLayerOut(18)(14), L =>TobLayerOut(18)(18));
1767  compExch_Layer_18_to_19_sites_15_19: compExch port map(A =>TobLayerIn(18)(15), B =>TobLayerIn(18)(19), H =>TobLayerOut(18)(15), L =>TobLayerOut(18)(19));
1768  compExch_Layer_18_to_19_sites_20_24: compExch port map(A =>TobLayerIn(18)(20), B =>TobLayerIn(18)(24), H =>TobLayerOut(18)(20), L =>TobLayerOut(18)(24));
1769  compExch_Layer_18_to_19_sites_21_25: compExch port map(A =>TobLayerIn(18)(21), B =>TobLayerIn(18)(25), H =>TobLayerOut(18)(21), L =>TobLayerOut(18)(25));
1770  compExch_Layer_18_to_19_sites_22_26: compExch port map(A =>TobLayerIn(18)(22), B =>TobLayerIn(18)(26), H =>TobLayerOut(18)(22), L =>TobLayerOut(18)(26));
1771  compExch_Layer_18_to_19_sites_23_27: compExch port map(A =>TobLayerIn(18)(23), B =>TobLayerIn(18)(27), H =>TobLayerOut(18)(23), L =>TobLayerOut(18)(27));
1772  compExch_Layer_18_to_19_sites_28_32: compExch port map(A =>TobLayerIn(18)(28), B =>TobLayerIn(18)(32), H =>TobLayerOut(18)(28), L =>TobLayerOut(18)(32));
1773  compExch_Layer_18_to_19_sites_29_33: compExch port map(A =>TobLayerIn(18)(29), B =>TobLayerIn(18)(33), H =>TobLayerOut(18)(29), L =>TobLayerOut(18)(33));
1774  compExch_Layer_18_to_19_sites_30_34: compExch port map(A =>TobLayerIn(18)(30), B =>TobLayerIn(18)(34), H =>TobLayerOut(18)(30), L =>TobLayerOut(18)(34));
1775  compExch_Layer_18_to_19_sites_31_35: compExch port map(A =>TobLayerIn(18)(31), B =>TobLayerIn(18)(35), H =>TobLayerOut(18)(31), L =>TobLayerOut(18)(35));
1776  compExch_Layer_18_to_19_sites_36_40: compExch port map(A =>TobLayerIn(18)(36), B =>TobLayerIn(18)(40), H =>TobLayerOut(18)(36), L =>TobLayerOut(18)(40));
1777  compExch_Layer_18_to_19_sites_37_41: compExch port map(A =>TobLayerIn(18)(37), B =>TobLayerIn(18)(41), H =>TobLayerOut(18)(37), L =>TobLayerOut(18)(41));
1778  compExch_Layer_18_to_19_sites_38_42: compExch port map(A =>TobLayerIn(18)(38), B =>TobLayerIn(18)(42), H =>TobLayerOut(18)(38), L =>TobLayerOut(18)(42));
1779  compExch_Layer_18_to_19_sites_39_43: compExch port map(A =>TobLayerIn(18)(39), B =>TobLayerIn(18)(43), H =>TobLayerOut(18)(39), L =>TobLayerOut(18)(43));
1780  compExch_Layer_18_to_19_sites_44_48: compExch port map(A =>TobLayerIn(18)(44), B =>TobLayerIn(18)(48), H =>TobLayerOut(18)(44), L =>TobLayerOut(18)(48));
1781  compExch_Layer_18_to_19_sites_45_49: compExch port map(A =>TobLayerIn(18)(45), B =>TobLayerIn(18)(49), H =>TobLayerOut(18)(45), L =>TobLayerOut(18)(49));
1782  compExch_Layer_18_to_19_sites_46_50: compExch port map(A =>TobLayerIn(18)(46), B =>TobLayerIn(18)(50), H =>TobLayerOut(18)(46), L =>TobLayerOut(18)(50));
1783  compExch_Layer_18_to_19_sites_47_51: compExch port map(A =>TobLayerIn(18)(47), B =>TobLayerIn(18)(51), H =>TobLayerOut(18)(47), L =>TobLayerOut(18)(51));
1784  compExch_Layer_18_to_19_sites_52_56: compExch port map(A =>TobLayerIn(18)(52), B =>TobLayerIn(18)(56), H =>TobLayerOut(18)(52), L =>TobLayerOut(18)(56));
1785  compExch_Layer_18_to_19_sites_53_57: compExch port map(A =>TobLayerIn(18)(53), B =>TobLayerIn(18)(57), H =>TobLayerOut(18)(53), L =>TobLayerOut(18)(57));
1786  compExch_Layer_18_to_19_sites_54_58: compExch port map(A =>TobLayerIn(18)(54), B =>TobLayerIn(18)(58), H =>TobLayerOut(18)(54), L =>TobLayerOut(18)(58));
1787  compExch_Layer_18_to_19_sites_55_59: compExch port map(A =>TobLayerIn(18)(55), B =>TobLayerIn(18)(59), H =>TobLayerOut(18)(55), L =>TobLayerOut(18)(59));
1788 
1789  TobLayerOut(18)(0)<=TobLayerIn(18)(0);
1790  TobLayerOut(18)(1)<=TobLayerIn(18)(1);
1791  TobLayerOut(18)(2)<=TobLayerIn(18)(2);
1792  TobLayerOut(18)(3)<=TobLayerIn(18)(3);
1793  TobLayerOut(18)(60)<=TobLayerIn(18)(60);
1794  TobLayerOut(18)(61)<=TobLayerIn(18)(61);
1795  TobLayerOut(18)(62)<=TobLayerIn(18)(62);
1796  TobLayerOut(18)(63)<=TobLayerIn(18)(63);
1797 
1798 
1799  compExch_Layer_19_to_20_sites_02_04: compExch port map(A =>TobLayerIn(19)(2), B =>TobLayerIn(19)(4), H =>TobLayerOut(19)(2), L =>TobLayerOut(19)(4));
1800  compExch_Layer_19_to_20_sites_03_05: compExch port map(A =>TobLayerIn(19)(3), B =>TobLayerIn(19)(5), H =>TobLayerOut(19)(3), L =>TobLayerOut(19)(5));
1801  compExch_Layer_19_to_20_sites_06_08: compExch port map(A =>TobLayerIn(19)(6), B =>TobLayerIn(19)(8), H =>TobLayerOut(19)(6), L =>TobLayerOut(19)(8));
1802  compExch_Layer_19_to_20_sites_07_09: compExch port map(A =>TobLayerIn(19)(7), B =>TobLayerIn(19)(9), H =>TobLayerOut(19)(7), L =>TobLayerOut(19)(9));
1803  compExch_Layer_19_to_20_sites_10_12: compExch port map(A =>TobLayerIn(19)(10), B =>TobLayerIn(19)(12), H =>TobLayerOut(19)(10), L =>TobLayerOut(19)(12));
1804  compExch_Layer_19_to_20_sites_11_13: compExch port map(A =>TobLayerIn(19)(11), B =>TobLayerIn(19)(13), H =>TobLayerOut(19)(11), L =>TobLayerOut(19)(13));
1805  compExch_Layer_19_to_20_sites_14_16: compExch port map(A =>TobLayerIn(19)(14), B =>TobLayerIn(19)(16), H =>TobLayerOut(19)(14), L =>TobLayerOut(19)(16));
1806  compExch_Layer_19_to_20_sites_15_17: compExch port map(A =>TobLayerIn(19)(15), B =>TobLayerIn(19)(17), H =>TobLayerOut(19)(15), L =>TobLayerOut(19)(17));
1807  compExch_Layer_19_to_20_sites_18_20: compExch port map(A =>TobLayerIn(19)(18), B =>TobLayerIn(19)(20), H =>TobLayerOut(19)(18), L =>TobLayerOut(19)(20));
1808  compExch_Layer_19_to_20_sites_19_21: compExch port map(A =>TobLayerIn(19)(19), B =>TobLayerIn(19)(21), H =>TobLayerOut(19)(19), L =>TobLayerOut(19)(21));
1809  compExch_Layer_19_to_20_sites_22_24: compExch port map(A =>TobLayerIn(19)(22), B =>TobLayerIn(19)(24), H =>TobLayerOut(19)(22), L =>TobLayerOut(19)(24));
1810  compExch_Layer_19_to_20_sites_23_25: compExch port map(A =>TobLayerIn(19)(23), B =>TobLayerIn(19)(25), H =>TobLayerOut(19)(23), L =>TobLayerOut(19)(25));
1811  compExch_Layer_19_to_20_sites_26_28: compExch port map(A =>TobLayerIn(19)(26), B =>TobLayerIn(19)(28), H =>TobLayerOut(19)(26), L =>TobLayerOut(19)(28));
1812  compExch_Layer_19_to_20_sites_27_29: compExch port map(A =>TobLayerIn(19)(27), B =>TobLayerIn(19)(29), H =>TobLayerOut(19)(27), L =>TobLayerOut(19)(29));
1813  compExch_Layer_19_to_20_sites_30_32: compExch port map(A =>TobLayerIn(19)(30), B =>TobLayerIn(19)(32), H =>TobLayerOut(19)(30), L =>TobLayerOut(19)(32));
1814  compExch_Layer_19_to_20_sites_31_33: compExch port map(A =>TobLayerIn(19)(31), B =>TobLayerIn(19)(33), H =>TobLayerOut(19)(31), L =>TobLayerOut(19)(33));
1815  compExch_Layer_19_to_20_sites_34_36: compExch port map(A =>TobLayerIn(19)(34), B =>TobLayerIn(19)(36), H =>TobLayerOut(19)(34), L =>TobLayerOut(19)(36));
1816  compExch_Layer_19_to_20_sites_35_37: compExch port map(A =>TobLayerIn(19)(35), B =>TobLayerIn(19)(37), H =>TobLayerOut(19)(35), L =>TobLayerOut(19)(37));
1817  compExch_Layer_19_to_20_sites_38_40: compExch port map(A =>TobLayerIn(19)(38), B =>TobLayerIn(19)(40), H =>TobLayerOut(19)(38), L =>TobLayerOut(19)(40));
1818  compExch_Layer_19_to_20_sites_39_41: compExch port map(A =>TobLayerIn(19)(39), B =>TobLayerIn(19)(41), H =>TobLayerOut(19)(39), L =>TobLayerOut(19)(41));
1819  compExch_Layer_19_to_20_sites_42_44: compExch port map(A =>TobLayerIn(19)(42), B =>TobLayerIn(19)(44), H =>TobLayerOut(19)(42), L =>TobLayerOut(19)(44));
1820  compExch_Layer_19_to_20_sites_43_45: compExch port map(A =>TobLayerIn(19)(43), B =>TobLayerIn(19)(45), H =>TobLayerOut(19)(43), L =>TobLayerOut(19)(45));
1821  compExch_Layer_19_to_20_sites_46_48: compExch port map(A =>TobLayerIn(19)(46), B =>TobLayerIn(19)(48), H =>TobLayerOut(19)(46), L =>TobLayerOut(19)(48));
1822  compExch_Layer_19_to_20_sites_47_49: compExch port map(A =>TobLayerIn(19)(47), B =>TobLayerIn(19)(49), H =>TobLayerOut(19)(47), L =>TobLayerOut(19)(49));
1823  compExch_Layer_19_to_20_sites_50_52: compExch port map(A =>TobLayerIn(19)(50), B =>TobLayerIn(19)(52), H =>TobLayerOut(19)(50), L =>TobLayerOut(19)(52));
1824  compExch_Layer_19_to_20_sites_51_53: compExch port map(A =>TobLayerIn(19)(51), B =>TobLayerIn(19)(53), H =>TobLayerOut(19)(51), L =>TobLayerOut(19)(53));
1825  compExch_Layer_19_to_20_sites_54_56: compExch port map(A =>TobLayerIn(19)(54), B =>TobLayerIn(19)(56), H =>TobLayerOut(19)(54), L =>TobLayerOut(19)(56));
1826  compExch_Layer_19_to_20_sites_55_57: compExch port map(A =>TobLayerIn(19)(55), B =>TobLayerIn(19)(57), H =>TobLayerOut(19)(55), L =>TobLayerOut(19)(57));
1827  compExch_Layer_19_to_20_sites_58_60: compExch port map(A =>TobLayerIn(19)(58), B =>TobLayerIn(19)(60), H =>TobLayerOut(19)(58), L =>TobLayerOut(19)(60));
1828  compExch_Layer_19_to_20_sites_59_61: compExch port map(A =>TobLayerIn(19)(59), B =>TobLayerIn(19)(61), H =>TobLayerOut(19)(59), L =>TobLayerOut(19)(61));
1829 
1830  TobLayerOut(19)(0)<=TobLayerIn(19)(0);
1831  TobLayerOut(19)(1)<=TobLayerIn(19)(1);
1832  TobLayerOut(19)(62)<=TobLayerIn(19)(62);
1833  TobLayerOut(19)(63)<=TobLayerIn(19)(63);
1834 
1835 
1836  compExch_Layer_20_to_21_sites_01_02: compExch port map(A =>TobLayerIn(20)(1), B =>TobLayerIn(20)(2), H =>TobLayerOut(20)(1), L =>TobLayerOut(20)(2));
1837  compExch_Layer_20_to_21_sites_03_04: compExch port map(A =>TobLayerIn(20)(3), B =>TobLayerIn(20)(4), H =>TobLayerOut(20)(3), L =>TobLayerOut(20)(4));
1838  compExch_Layer_20_to_21_sites_05_06: compExch port map(A =>TobLayerIn(20)(5), B =>TobLayerIn(20)(6), H =>TobLayerOut(20)(5), L =>TobLayerOut(20)(6));
1839  compExch_Layer_20_to_21_sites_07_08: compExch port map(A =>TobLayerIn(20)(7), B =>TobLayerIn(20)(8), H =>TobLayerOut(20)(7), L =>TobLayerOut(20)(8));
1840  compExch_Layer_20_to_21_sites_09_10: compExch port map(A =>TobLayerIn(20)(9), B =>TobLayerIn(20)(10), H =>TobLayerOut(20)(9), L =>TobLayerOut(20)(10));
1841  compExch_Layer_20_to_21_sites_11_12: compExch port map(A =>TobLayerIn(20)(11), B =>TobLayerIn(20)(12), H =>TobLayerOut(20)(11), L =>TobLayerOut(20)(12));
1842  compExch_Layer_20_to_21_sites_13_14: compExch port map(A =>TobLayerIn(20)(13), B =>TobLayerIn(20)(14), H =>TobLayerOut(20)(13), L =>TobLayerOut(20)(14));
1843  compExch_Layer_20_to_21_sites_15_16: compExch port map(A =>TobLayerIn(20)(15), B =>TobLayerIn(20)(16), H =>TobLayerOut(20)(15), L =>TobLayerOut(20)(16));
1844  compExch_Layer_20_to_21_sites_17_18: compExch port map(A =>TobLayerIn(20)(17), B =>TobLayerIn(20)(18), H =>TobLayerOut(20)(17), L =>TobLayerOut(20)(18));
1845  compExch_Layer_20_to_21_sites_19_20: compExch port map(A =>TobLayerIn(20)(19), B =>TobLayerIn(20)(20), H =>TobLayerOut(20)(19), L =>TobLayerOut(20)(20));
1846  compExch_Layer_20_to_21_sites_21_22: compExch port map(A =>TobLayerIn(20)(21), B =>TobLayerIn(20)(22), H =>TobLayerOut(20)(21), L =>TobLayerOut(20)(22));
1847  compExch_Layer_20_to_21_sites_23_24: compExch port map(A =>TobLayerIn(20)(23), B =>TobLayerIn(20)(24), H =>TobLayerOut(20)(23), L =>TobLayerOut(20)(24));
1848  compExch_Layer_20_to_21_sites_25_26: compExch port map(A =>TobLayerIn(20)(25), B =>TobLayerIn(20)(26), H =>TobLayerOut(20)(25), L =>TobLayerOut(20)(26));
1849  compExch_Layer_20_to_21_sites_27_28: compExch port map(A =>TobLayerIn(20)(27), B =>TobLayerIn(20)(28), H =>TobLayerOut(20)(27), L =>TobLayerOut(20)(28));
1850  compExch_Layer_20_to_21_sites_29_30: compExch port map(A =>TobLayerIn(20)(29), B =>TobLayerIn(20)(30), H =>TobLayerOut(20)(29), L =>TobLayerOut(20)(30));
1851  compExch_Layer_20_to_21_sites_31_32: compExch port map(A =>TobLayerIn(20)(31), B =>TobLayerIn(20)(32), H =>TobLayerOut(20)(31), L =>TobLayerOut(20)(32));
1852  compExch_Layer_20_to_21_sites_33_34: compExch port map(A =>TobLayerIn(20)(33), B =>TobLayerIn(20)(34), H =>TobLayerOut(20)(33), L =>TobLayerOut(20)(34));
1853  compExch_Layer_20_to_21_sites_35_36: compExch port map(A =>TobLayerIn(20)(35), B =>TobLayerIn(20)(36), H =>TobLayerOut(20)(35), L =>TobLayerOut(20)(36));
1854  compExch_Layer_20_to_21_sites_37_38: compExch port map(A =>TobLayerIn(20)(37), B =>TobLayerIn(20)(38), H =>TobLayerOut(20)(37), L =>TobLayerOut(20)(38));
1855  compExch_Layer_20_to_21_sites_39_40: compExch port map(A =>TobLayerIn(20)(39), B =>TobLayerIn(20)(40), H =>TobLayerOut(20)(39), L =>TobLayerOut(20)(40));
1856  compExch_Layer_20_to_21_sites_41_42: compExch port map(A =>TobLayerIn(20)(41), B =>TobLayerIn(20)(42), H =>TobLayerOut(20)(41), L =>TobLayerOut(20)(42));
1857  compExch_Layer_20_to_21_sites_43_44: compExch port map(A =>TobLayerIn(20)(43), B =>TobLayerIn(20)(44), H =>TobLayerOut(20)(43), L =>TobLayerOut(20)(44));
1858  compExch_Layer_20_to_21_sites_45_46: compExch port map(A =>TobLayerIn(20)(45), B =>TobLayerIn(20)(46), H =>TobLayerOut(20)(45), L =>TobLayerOut(20)(46));
1859  compExch_Layer_20_to_21_sites_47_48: compExch port map(A =>TobLayerIn(20)(47), B =>TobLayerIn(20)(48), H =>TobLayerOut(20)(47), L =>TobLayerOut(20)(48));
1860  compExch_Layer_20_to_21_sites_49_50: compExch port map(A =>TobLayerIn(20)(49), B =>TobLayerIn(20)(50), H =>TobLayerOut(20)(49), L =>TobLayerOut(20)(50));
1861  compExch_Layer_20_to_21_sites_51_52: compExch port map(A =>TobLayerIn(20)(51), B =>TobLayerIn(20)(52), H =>TobLayerOut(20)(51), L =>TobLayerOut(20)(52));
1862  compExch_Layer_20_to_21_sites_53_54: compExch port map(A =>TobLayerIn(20)(53), B =>TobLayerIn(20)(54), H =>TobLayerOut(20)(53), L =>TobLayerOut(20)(54));
1863  compExch_Layer_20_to_21_sites_55_56: compExch port map(A =>TobLayerIn(20)(55), B =>TobLayerIn(20)(56), H =>TobLayerOut(20)(55), L =>TobLayerOut(20)(56));
1864  compExch_Layer_20_to_21_sites_57_58: compExch port map(A =>TobLayerIn(20)(57), B =>TobLayerIn(20)(58), H =>TobLayerOut(20)(57), L =>TobLayerOut(20)(58));
1865  compExch_Layer_20_to_21_sites_59_60: compExch port map(A =>TobLayerIn(20)(59), B =>TobLayerIn(20)(60), H =>TobLayerOut(20)(59), L =>TobLayerOut(20)(60));
1866  compExch_Layer_20_to_21_sites_61_62: compExch port map(A =>TobLayerIn(20)(61), B =>TobLayerIn(20)(62), H =>TobLayerOut(20)(61), L =>TobLayerOut(20)(62));
1867 
1868  TobLayerOut(20)(0)<=TobLayerIn(20)(0);
1869  TobLayerOut(20)(63)<=TobLayerIn(20)(63);
1870 
1871 
1872 -- number of layers: 21
1873 
1874 
1875 end RTL;
compExch compexch_layer_16_to_17_sites_27_43compexch_layer_16_to_17_sites_27_43
compExch compexch_layer_04_to_05_sites_10_12compexch_layer_04_to_05_sites_10_12
compExch compexch_layer_08_to_09_sites_19_21compexch_layer_08_to_09_sites_19_21
compExch compexch_layer_08_to_09_sites_50_52compexch_layer_08_to_09_sites_50_52
compExch compexch_layer_13_to_14_sites_07_09compexch_layer_13_to_14_sites_07_09
compExch compexch_layer_03_to_04_sites_18_22compexch_layer_03_to_04_sites_18_22
compExch compexch_layer_12_to_13_sites_15_19compexch_layer_12_to_13_sites_15_19
compExch compexch_layer_17_to_18_sites_11_19compexch_layer_17_to_18_sites_11_19
compExch compexch_layer_16_to_17_sites_26_42compexch_layer_16_to_17_sites_26_42
unsigned (32 downto 0) total_overflow_counter
compExch compexch_layer_15_to_16_sites_13_45compexch_layer_15_to_16_sites_13_45
compExch compexch_layer_01_to_02_sites_08_10compexch_layer_01_to_02_sites_08_10
Definition: cp_decoder.vhd:861
compExch compexch_layer_06_to_07_sites_16_24compexch_layer_06_to_07_sites_16_24
compExch compexch_layer_01_to_02_sites_17_19compexch_layer_01_to_02_sites_17_19
Definition: cp_decoder.vhd:884
compExch compexch_layer_20_to_21_sites_43_44compexch_layer_20_to_21_sites_43_44
compExch compexch_layer_01_to_02_sites_37_39compexch_layer_01_to_02_sites_37_39
Definition: cp_decoder.vhd:939
compExch compexch_layer_06_to_07_sites_02_10compexch_layer_06_to_07_sites_02_10
compExch compexch_layer_06_to_07_sites_53_61compexch_layer_06_to_07_sites_53_61
compExch compexch_layer_03_to_04_sites_02_06compexch_layer_03_to_04_sites_02_06
compExch compexch_layer_20_to_21_sites_49_50compexch_layer_20_to_21_sites_49_50
compExch compexch_layer_20_to_21_sites_29_30compexch_layer_20_to_21_sites_29_30
compExch compexch_layer_03_to_04_sites_56_60compexch_layer_03_to_04_sites_56_60
compExch compexch_layer_20_to_21_sites_25_26compexch_layer_20_to_21_sites_25_26
compExch compexch_layer_18_to_19_sites_21_25compexch_layer_18_to_19_sites_21_25
compExch compexch_layer_03_to_04_sites_25_29compexch_layer_03_to_04_sites_25_29
compExch compexch_layer_07_to_08_sites_04_08compexch_layer_07_to_08_sites_04_08
compExch compexch_layer_19_to_20_sites_35_37compexch_layer_19_to_20_sites_35_37
compExch compexch_layer_08_to_09_sites_59_61compexch_layer_08_to_09_sites_59_61
compExch compexch_layer_00_to_01_sites_04_05compexch_layer_00_to_01_sites_04_05
Definition: cp_decoder.vhd:719
compExch compexch_layer_18_to_19_sites_28_32compexch_layer_18_to_19_sites_28_32
thresholds_widthinteger :=10
Definition: jet_decoder.vhd:39
compExch compexch_layer_06_to_07_sites_51_59compexch_layer_06_to_07_sites_51_59
compExch compexch_layer_10_to_11_sites_35_51compexch_layer_10_to_11_sites_35_51
compExch compexch_layer_01_to_02_sites_09_11compexch_layer_01_to_02_sites_09_11
Definition: cp_decoder.vhd:862
compExch compexch_layer_14_to_15_sites_09_10compexch_layer_14_to_15_sites_09_10
compExch compexch_layer_13_to_14_sites_50_52compexch_layer_13_to_14_sites_50_52
compExch compexch_layer_19_to_20_sites_46_48compexch_layer_19_to_20_sites_46_48
compExch compexch_layer_00_to_01_sites_12_13compexch_layer_00_to_01_sites_12_13
Definition: cp_decoder.vhd:735
compExch compexch_layer_16_to_17_sites_17_33compexch_layer_16_to_17_sites_17_33
compExch compexch_layer_18_to_19_sites_47_51compexch_layer_18_to_19_sites_47_51
std_logic_vector (max_cps - 1 downto 0) overflow_local
Definition: cp_decoder.vhd:137
compExch compexch_layer_14_to_15_sites_35_36compexch_layer_14_to_15_sites_35_36
compExch compexch_layer_14_to_15_sites_55_56compexch_layer_14_to_15_sites_55_56
compExch compexch_layer_07_to_08_sites_39_43compexch_layer_07_to_08_sites_39_43
compExch compexch_layer_01_to_02_sites_57_59compexch_layer_01_to_02_sites_57_59
Definition: cp_decoder.vhd:994
compExch compexch_layer_17_to_18_sites_08_16compexch_layer_17_to_18_sites_08_16
out Tobs_to_TOPOcopy_arr_TOB
Definition: jet_decoder.vhd:49
compExch compexch_layer_06_to_07_sites_52_60compexch_layer_06_to_07_sites_52_60
compExch compexch_layer_12_to_13_sites_52_56compexch_layer_12_to_13_sites_52_56
compExch compexch_layer_10_to_11_sites_43_59compexch_layer_10_to_11_sites_43_59
compExch compexch_layer_01_to_02_sites_01_03compexch_layer_01_to_02_sites_01_03
Definition: cp_decoder.vhd:840
compExch compexch_layer_08_to_09_sites_10_12compexch_layer_08_to_09_sites_10_12
compExch compexch_layer_09_to_10_sites_19_20compexch_layer_09_to_10_sites_19_20
in datai_first_halfarr_2Xword (max_jems - 1 downto 0)
Definition: jet_decoder.vhd:48
compExch compexch_layer_19_to_20_sites_51_53compexch_layer_19_to_20_sites_51_53
compExch compexch_layer_14_to_15_sites_29_30compexch_layer_14_to_15_sites_29_30
compExch compexch_layer_01_to_02_sites_24_26compexch_layer_01_to_02_sites_24_26
Definition: cp_decoder.vhd:905
compExch compexch_layer_00_to_01_sites_46_47compexch_layer_00_to_01_sites_46_47
Definition: cp_decoder.vhd:803
out data_vmestd_logic_vector (15 downto 0)
in clk40MHz_90ostd_logic
Definition: jet_decoder.vhd:44
compExch compexch_layer_06_to_07_sites_20_28compexch_layer_06_to_07_sites_20_28
compExch compexch_layer_02_to_03_sites_21_22compexch_layer_02_to_03_sites_21_22
Definition: cp_decoder.vhd:899
compExch compexch_layer_14_to_15_sites_33_34compexch_layer_14_to_15_sites_33_34
compExch compexch_layer_05_to_06_sites_11_12compexch_layer_05_to_06_sites_11_12
compExch compexch_layer_03_to_04_sites_33_37compexch_layer_03_to_04_sites_33_37
compExch compexch_layer_11_to_12_sites_46_54compexch_layer_11_to_12_sites_46_54
in counter_inhibitstd_logic
Definition: jet_decoder.vhd:61
compExch compexch_layer_00_to_01_sites_02_03compexch_layer_00_to_01_sites_02_03
Definition: cp_decoder.vhd:715
compExch compexch_layer_12_to_13_sites_46_50compexch_layer_12_to_13_sites_46_50
compExch compexch_layer_18_to_19_sites_20_24compexch_layer_18_to_19_sites_20_24
compExch compexch_layer_00_to_01_sites_06_07compexch_layer_00_to_01_sites_06_07
Definition: cp_decoder.vhd:723
in BJetTOB
Definition: compExch.vhd:25
multiply single_multiplysingle_multiply
Definition: cp_decoder.vhd:252
compExch compexch_layer_01_to_02_sites_44_46compexch_layer_01_to_02_sites_44_46
Definition: cp_decoder.vhd:960
compExch compexch_layer_18_to_19_sites_07_11compexch_layer_18_to_19_sites_07_11
compExch compexch_layer_03_to_04_sites_41_45compexch_layer_03_to_04_sites_41_45
compExch compexch_layer_10_to_11_sites_39_55compexch_layer_10_to_11_sites_39_55
out HJetTOB
Definition: compExch.vhd:26
compExch compexch_layer_18_to_19_sites_14_18compexch_layer_18_to_19_sites_14_18
compExch compexch_layer_10_to_11_sites_40_56compexch_layer_10_to_11_sites_40_56
compExch compexch_layer_05_to_06_sites_49_50compexch_layer_05_to_06_sites_49_50
compExch compexch_layer_13_to_14_sites_34_36compexch_layer_13_to_14_sites_34_36
compExch compexch_layer_13_to_14_sites_26_28compexch_layer_13_to_14_sites_26_28
compExch compexch_layer_18_to_19_sites_23_27compexch_layer_18_to_19_sites_23_27
compExch compexch_layer_18_to_19_sites_06_10compexch_layer_18_to_19_sites_06_10
std_logic_vector (11 downto 0) BCID_rr
compExch compexch_layer_04_to_05_sites_42_44compexch_layer_04_to_05_sites_42_44
compExch compexch_layer_09_to_10_sites_41_42compexch_layer_09_to_10_sites_41_42
compExch compexch_layer_06_to_07_sites_19_27compexch_layer_06_to_07_sites_19_27
compExch compexch_layer_00_to_01_sites_28_29compexch_layer_00_to_01_sites_28_29
Definition: cp_decoder.vhd:767
compExch compexch_layer_00_to_01_sites_24_25compexch_layer_00_to_01_sites_24_25
Definition: cp_decoder.vhd:759
compExch compexch_layer_06_to_07_sites_06_14compexch_layer_06_to_07_sites_06_14
compExch compexch_layer_16_to_17_sites_29_45compexch_layer_16_to_17_sites_29_45
compExch compexch_layer_14_to_15_sites_41_42compexch_layer_14_to_15_sites_41_42
compExch compexch_layer_00_to_01_sites_60_61compexch_layer_00_to_01_sites_60_61
Definition: cp_decoder.vhd:831
compExch compexch_layer_10_to_11_sites_09_25compexch_layer_10_to_11_sites_09_25
compExch compexch_layer_11_to_12_sites_14_22compexch_layer_11_to_12_sites_14_22
compExch compexch_layer_20_to_21_sites_47_48compexch_layer_20_to_21_sites_47_48
compExch compexch_layer_09_to_10_sites_17_18compexch_layer_09_to_10_sites_17_18
compExch compexch_layer_12_to_13_sites_44_48compexch_layer_12_to_13_sites_44_48
compExch compexch_layer_10_to_11_sites_15_31compexch_layer_10_to_11_sites_15_31
compExch compexch_layer_08_to_09_sites_06_08compexch_layer_08_to_09_sites_06_08
compExch compexch_layer_19_to_20_sites_23_25compexch_layer_19_to_20_sites_23_25
compExch compexch_layer_18_to_19_sites_30_34compexch_layer_18_to_19_sites_30_34
arr_ctr_33bit (max_cps - 1 downto 0) local_backplane_overflow_counter
Definition: cp_decoder.vhd:177
compExch compexch_layer_08_to_09_sites_26_28compexch_layer_08_to_09_sites_26_28
compExch compexch_layer_04_to_05_sites_51_53compexch_layer_04_to_05_sites_51_53
compExch compexch_layer_03_to_04_sites_49_53compexch_layer_03_to_04_sites_49_53
compExch compexch_layer_05_to_06_sites_03_04compexch_layer_05_to_06_sites_03_04
compExch compexch_layer_20_to_21_sites_27_28compexch_layer_20_to_21_sites_27_28
compExch compexch_layer_03_to_04_sites_01_05compexch_layer_03_to_04_sites_01_05
pos_widthinteger :=9
Definition: jet_decoder.vhd:37
compExch compexch_layer_01_to_02_sites_21_23compexch_layer_01_to_02_sites_21_23
Definition: cp_decoder.vhd:895
compExch compexch_layer_15_to_16_sites_18_50compexch_layer_15_to_16_sites_18_50
compExch compexch_layer_01_to_02_sites_56_58compexch_layer_01_to_02_sites_56_58
Definition: cp_decoder.vhd:993
unsigned (32 downto 0) global_backplane_overflow_counter
compExch compexch_layer_18_to_19_sites_15_19compexch_layer_18_to_19_sites_15_19
compExch compexch_layer_03_to_04_sites_10_14compexch_layer_03_to_04_sites_10_14
_library_ IEEEIEEE
compExch compexch_layer_10_to_11_sites_45_61compexch_layer_10_to_11_sites_45_61
compExch compexch_layer_19_to_20_sites_50_52compexch_layer_19_to_20_sites_50_52
std_logic overflow_local_any_reg
compExch compexch_layer_11_to_12_sites_08_16compexch_layer_11_to_12_sites_08_16
compExch compexch_layer_18_to_19_sites_13_17compexch_layer_18_to_19_sites_13_17
compExch compexch_layer_09_to_10_sites_09_10compexch_layer_09_to_10_sites_09_10
compExch compexch_layer_10_to_11_sites_02_18compexch_layer_10_to_11_sites_02_18
compExch compexch_layer_10_to_11_sites_38_54compexch_layer_10_to_11_sites_38_54
compExch compexch_layer_09_to_10_sites_59_60compexch_layer_09_to_10_sites_59_60
compExch compexch_layer_04_to_05_sites_26_28compexch_layer_04_to_05_sites_26_28
compExch compexch_layer_15_to_16_sites_03_35compexch_layer_15_to_16_sites_03_35
compExch compexch_layer_18_to_19_sites_55_59compexch_layer_18_to_19_sites_55_59
compExch compexch_layer_17_to_18_sites_15_23compexch_layer_17_to_18_sites_15_23
compExch compexch_layer_12_to_13_sites_23_27compexch_layer_12_to_13_sites_23_27
compExch compexch_layer_06_to_07_sites_04_12compexch_layer_06_to_07_sites_04_12
compExch compexch_layer_14_to_15_sites_61_62compexch_layer_14_to_15_sites_61_62
compExch compexch_layer_19_to_20_sites_18_20compexch_layer_19_to_20_sites_18_20
compExch compexch_layer_14_to_15_sites_27_28compexch_layer_14_to_15_sites_27_28
compExch compexch_layer_09_to_10_sites_53_54compexch_layer_09_to_10_sites_53_54
compExch compexch_layer_06_to_07_sites_37_45compexch_layer_06_to_07_sites_37_45
compExch compexch_layer_06_to_07_sites_05_13compexch_layer_06_to_07_sites_05_13
compExch compexch_layer_09_to_10_sites_21_22compexch_layer_09_to_10_sites_21_22
compExch compexch_layer_07_to_08_sites_05_09compexch_layer_07_to_08_sites_05_09
compExch compexch_layer_18_to_19_sites_05_09compexch_layer_18_to_19_sites_05_09
compExch compexch_layer_08_to_09_sites_03_05compexch_layer_08_to_09_sites_03_05
compExch compexch_layer_14_to_15_sites_37_38compexch_layer_14_to_15_sites_37_38
compExch compexch_layer_03_to_04_sites_03_07compexch_layer_03_to_04_sites_03_07
compExch compexch_layer_17_to_18_sites_13_21compexch_layer_17_to_18_sites_13_21
compExch compexch_layer_14_to_15_sites_05_06compexch_layer_14_to_15_sites_05_06
compExch compexch_layer_02_to_03_sites_25_26compexch_layer_02_to_03_sites_25_26
Definition: cp_decoder.vhd:910
compExch compexch_layer_19_to_20_sites_10_12compexch_layer_19_to_20_sites_10_12
compExch compexch_layer_09_to_10_sites_37_38compexch_layer_09_to_10_sites_37_38
compExch compexch_layer_13_to_14_sites_35_37compexch_layer_13_to_14_sites_35_37
compExch compexch_layer_18_to_19_sites_37_41compexch_layer_18_to_19_sites_37_41
compExch compexch_layer_08_to_09_sites_38_40compexch_layer_08_to_09_sites_38_40
compExch compexch_layer_19_to_20_sites_58_60compexch_layer_19_to_20_sites_58_60
compExch compexch_layer_17_to_18_sites_44_52compexch_layer_17_to_18_sites_44_52
compExch compexch_layer_07_to_08_sites_36_40compexch_layer_07_to_08_sites_36_40
compExch compexch_layer_13_to_14_sites_46_48compexch_layer_13_to_14_sites_46_48
compExch compexch_layer_06_to_07_sites_34_42compexch_layer_06_to_07_sites_34_42
compExch compexch_layer_05_to_06_sites_51_52compexch_layer_05_to_06_sites_51_52
compExch compexch_layer_13_to_14_sites_15_17compexch_layer_13_to_14_sites_15_17
compExch compexch_layer_15_to_16_sites_27_59compexch_layer_15_to_16_sites_27_59
arr_16 ((4 * max_cps) + 4 + (max_cps * num_presence_bits_pcp) * 2 - 1 downto 0) data_vme_out_local)
Definition: cp_decoder.vhd:62
compExch compexch_layer_11_to_12_sites_11_19compexch_layer_11_to_12_sites_11_19
compExch compexch_layer_07_to_08_sites_22_26compexch_layer_07_to_08_sites_22_26
compExch compexch_layer_11_to_12_sites_12_20compexch_layer_11_to_12_sites_12_20
compExch compexch_layer_18_to_19_sites_46_50compexch_layer_18_to_19_sites_46_50
compExch compexch_layer_02_to_03_sites_05_06compexch_layer_02_to_03_sites_05_06
Definition: cp_decoder.vhd:855
in rd_nwrstd_logic
Definition: jet_decoder.vhd:65
compExch compexch_layer_20_to_21_sites_51_52compexch_layer_20_to_21_sites_51_52
compExch compexch_layer_05_to_06_sites_17_18compexch_layer_05_to_06_sites_17_18
compExch compexch_layer_01_to_02_sites_60_62compexch_layer_01_to_02_sites_60_62
in data_vme_from_belowarr_16
--! inputs from local registers and from
compExch compexch_layer_06_to_07_sites_17_25compexch_layer_06_to_07_sites_17_25
compExch compexch_layer_04_to_05_sites_19_21compexch_layer_04_to_05_sites_19_21
compExch compexch_layer_12_to_13_sites_39_43compexch_layer_12_to_13_sites_39_43
compExch compexch_layer_11_to_12_sites_40_48compexch_layer_11_to_12_sites_40_48
compExch compexch_layer_05_to_06_sites_61_62compexch_layer_05_to_06_sites_61_62
compExch compexch_layer_14_to_15_sites_39_40compexch_layer_14_to_15_sites_39_40
compExch compexch_layer_06_to_07_sites_50_58compexch_layer_06_to_07_sites_50_58
compExch compexch_layer_19_to_20_sites_27_29compexch_layer_19_to_20_sites_27_29
compExch compexch_layer_06_to_07_sites_33_41compexch_layer_06_to_07_sites_33_41
compExch compexch_layer_14_to_15_sites_45_46compexch_layer_14_to_15_sites_45_46
compExch compexch_layer_15_to_16_sites_30_62compexch_layer_15_to_16_sites_30_62
integer :=i * num_presence_bits_pjem + i_pres_bit i_counter
compExch compexch_layer_13_to_14_sites_55_57compexch_layer_13_to_14_sites_55_57
compExch compexch_layer_18_to_19_sites_39_43compexch_layer_18_to_19_sites_39_43
compExch compexch_layer_12_to_13_sites_45_49compexch_layer_12_to_13_sites_45_49
compExch compexch_layer_05_to_06_sites_01_02compexch_layer_05_to_06_sites_01_02
compExch compexch_layer_17_to_18_sites_40_48compexch_layer_17_to_18_sites_40_48
compExch compexch_layer_06_to_07_sites_01_09compexch_layer_06_to_07_sites_01_09
compExch compexch_layer_05_to_06_sites_45_46compexch_layer_05_to_06_sites_45_46
std_logic_vector (11 downto 0) BCID_r
compExch compexch_layer_08_to_09_sites_22_24compexch_layer_08_to_09_sites_22_24
compExch compexch_layer_15_to_16_sites_19_51compexch_layer_15_to_16_sites_19_51
compExch compexch_layer_03_to_04_sites_48_52compexch_layer_03_to_04_sites_48_52
arr_ctr_32bit (max_cps * 16 - 1 downto 0) presence_bit_counter)
Definition: cp_decoder.vhd:175
compExch compexch_layer_20_to_21_sites_39_40compexch_layer_20_to_21_sites_39_40
compExch compexch_layer_15_to_16_sites_21_53compexch_layer_15_to_16_sites_21_53
max_tobs_totinteger :=64
Definition: jet_decoder.vhd:31
in AJetTOB
Definition: compExch.vhd:25
compExch compexch_layer_09_to_10_sites_39_40compexch_layer_09_to_10_sites_39_40
compExch compexch_layer_17_to_18_sites_41_49compexch_layer_17_to_18_sites_41_49
compExch compexch_layer_10_to_11_sites_34_50compexch_layer_10_to_11_sites_34_50
compExch compexch_layer_03_to_04_sites_43_47compexch_layer_03_to_04_sites_43_47
compExch compexch_layer_18_to_19_sites_12_16compexch_layer_18_to_19_sites_12_16
compExch compexch_layer_14_to_15_sites_47_48compexch_layer_14_to_15_sites_47_48
compExch compexch_layer_07_to_08_sites_38_42compexch_layer_07_to_08_sites_38_42
compExch compexch_layer_17_to_18_sites_43_51compexch_layer_17_to_18_sites_43_51
compExch compexch_layer_03_to_04_sites_58_62compexch_layer_03_to_04_sites_58_62
compExch compexch_layer_01_to_02_sites_36_38compexch_layer_01_to_02_sites_36_38
Definition: cp_decoder.vhd:938
compExch compexch_layer_08_to_09_sites_02_04compexch_layer_08_to_09_sites_02_04
compExch compexch_layer_00_to_01_sites_26_27compexch_layer_00_to_01_sites_26_27
Definition: cp_decoder.vhd:763
compExch compexch_layer_17_to_18_sites_27_35compexch_layer_17_to_18_sites_27_35
compExch compexch_layer_02_to_03_sites_57_58compexch_layer_02_to_03_sites_57_58
Definition: cp_decoder.vhd:998
compExch compexch_layer_05_to_06_sites_35_36compexch_layer_05_to_06_sites_35_36
compExch compexch_layer_00_to_01_sites_44_45compexch_layer_00_to_01_sites_44_45
Definition: cp_decoder.vhd:799
compExch compexch_layer_19_to_20_sites_26_28compexch_layer_19_to_20_sites_26_28
compExch compexch_layer_00_to_01_sites_34_35compexch_layer_00_to_01_sites_34_35
Definition: cp_decoder.vhd:779
compExch compexch_layer_07_to_08_sites_53_57compexch_layer_07_to_08_sites_53_57
compExch compexch_layer_14_to_15_sites_19_20compexch_layer_14_to_15_sites_19_20
compExch compexch_layer_15_to_16_sites_24_56compexch_layer_15_to_16_sites_24_56
compExch compexch_layer_10_to_11_sites_37_53compexch_layer_10_to_11_sites_37_53
out BCID_delayedstd_logic_vector (11 downto 0)
Definition: jet_decoder.vhd:57
compExch compexch_layer_13_to_14_sites_11_13compexch_layer_13_to_14_sites_11_13
compExch compexch_layer_12_to_13_sites_14_18compexch_layer_12_to_13_sites_14_18
compExch compexch_layer_09_to_10_sites_01_02compexch_layer_09_to_10_sites_01_02
compExch compexch_layer_06_to_07_sites_39_47compexch_layer_06_to_07_sites_39_47
compExch compexch_layer_10_to_11_sites_46_62compexch_layer_10_to_11_sites_46_62
compExch compexch_layer_07_to_08_sites_21_25compexch_layer_07_to_08_sites_21_25
compExch compexch_layer_19_to_20_sites_47_49compexch_layer_19_to_20_sites_47_49
compExch compexch_layer_07_to_08_sites_20_24compexch_layer_07_to_08_sites_20_24
compExch compexch_layer_09_to_10_sites_03_04compexch_layer_09_to_10_sites_03_04
compExch compexch_layer_12_to_13_sites_47_51compexch_layer_12_to_13_sites_47_51
std_logic counter_reset_r_local
compExch compexch_layer_10_to_11_sites_14_30compexch_layer_10_to_11_sites_14_30
arr_ctr_33bit (max_cps - 1 downto 0) ntobs_counter
Definition: cp_decoder.vhd:174
compExch compexch_layer_14_to_15_sites_13_14compexch_layer_14_to_15_sites_13_14
compExch compexch_layer_12_to_13_sites_06_10compexch_layer_12_to_13_sites_06_10
compExch compexch_layer_05_to_06_sites_25_26compexch_layer_05_to_06_sites_25_26
compExch compexch_layer_13_to_14_sites_14_16compexch_layer_13_to_14_sites_14_16
compExch compexch_layer_16_to_17_sites_25_41compexch_layer_16_to_17_sites_25_41
compExch compexch_layer_19_to_20_sites_59_61compexch_layer_19_to_20_sites_59_61
compExch compexch_layer_03_to_04_sites_51_55compexch_layer_03_to_04_sites_51_55
compExch compexch_layer_11_to_12_sites_10_18compexch_layer_11_to_12_sites_10_18
compExch compexch_layer_17_to_18_sites_09_17compexch_layer_17_to_18_sites_09_17
compExch compexch_layer_09_to_10_sites_35_36compexch_layer_09_to_10_sites_35_36
compExch compexch_layer_04_to_05_sites_18_20compexch_layer_04_to_05_sites_18_20
compExch compexch_layer_17_to_18_sites_29_37compexch_layer_17_to_18_sites_29_37
compExch compexch_layer_00_to_01_sites_36_37compexch_layer_00_to_01_sites_36_37
Definition: cp_decoder.vhd:783
compExch compexch_layer_17_to_18_sites_10_18compexch_layer_17_to_18_sites_10_18
compExch compexch_layer_05_to_06_sites_59_60compexch_layer_05_to_06_sites_59_60
compExch compexch_layer_20_to_21_sites_17_18compexch_layer_20_to_21_sites_17_18
std_logic or_all_ov_local
compExch compexch_layer_17_to_18_sites_30_38compexch_layer_17_to_18_sites_30_38
compExch compexch_layer_12_to_13_sites_37_41compexch_layer_12_to_13_sites_37_41
in dsstd_logic
Definition: jet_decoder.vhd:66
thresholds_numinteger :=25
Definition: jet_decoder.vhd:38
compExch compexch_layer_08_to_09_sites_27_29compexch_layer_08_to_09_sites_27_29
compExch compexch_layer_17_to_18_sites_12_20compexch_layer_17_to_18_sites_12_20
compExch compexch_layer_16_to_17_sites_18_34compexch_layer_16_to_17_sites_18_34
compExch compexch_layer_06_to_07_sites_49_57compexch_layer_06_to_07_sites_49_57
compExch compexch_layer_20_to_21_sites_59_60compexch_layer_20_to_21_sites_59_60
compExch compexch_layer_01_to_02_sites_00_02compexch_layer_01_to_02_sites_00_02
Definition: cp_decoder.vhd:839
compExch compexch_layer_14_to_15_sites_17_18compexch_layer_14_to_15_sites_17_18
compExch compexch_layer_19_to_20_sites_15_17compexch_layer_19_to_20_sites_15_17
compExch compexch_layer_19_to_20_sites_19_21compexch_layer_19_to_20_sites_19_21
compExch compexch_layer_05_to_06_sites_05_06compexch_layer_05_to_06_sites_05_06
compExch compexch_layer_13_to_14_sites_22_24compexch_layer_13_to_14_sites_22_24
compExch compexch_layer_09_to_10_sites_07_08compexch_layer_09_to_10_sites_07_08
compExch compexch_layer_13_to_14_sites_47_49compexch_layer_13_to_14_sites_47_49
compExch compexch_layer_17_to_18_sites_26_34compexch_layer_17_to_18_sites_26_34
compExch compexch_layer_01_to_02_sites_04_06compexch_layer_01_to_02_sites_04_06
Definition: cp_decoder.vhd:850
compExch compexch_layer_00_to_01_sites_62_63compexch_layer_00_to_01_sites_62_63
Definition: cp_decoder.vhd:835
compExch compexch_layer_16_to_17_sites_16_32compexch_layer_16_to_17_sites_16_32
compExch compexch_layer_01_to_02_sites_40_42compexch_layer_01_to_02_sites_40_42
Definition: cp_decoder.vhd:949
compExch compexch_layer_11_to_12_sites_42_50compexch_layer_11_to_12_sites_42_50
compExch compexch_layer_00_to_01_sites_54_55compexch_layer_00_to_01_sites_54_55
Definition: cp_decoder.vhd:819
compExch compexch_layer_09_to_10_sites_29_30compexch_layer_09_to_10_sites_29_30
in clk40MHz_m90ostd_logic
Definition: jet_decoder.vhd:43
_library_ workwork
compExch compexch_layer_18_to_19_sites_53_57compexch_layer_18_to_19_sites_53_57
compExch compexch_layer_15_to_16_sites_31_63compexch_layer_15_to_16_sites_31_63
compExch compexch_layer_12_to_13_sites_07_11compexch_layer_12_to_13_sites_07_11
compExch compexch_layer_10_to_11_sites_12_28compexch_layer_10_to_11_sites_12_28
compExch compexch_layer_09_to_10_sites_57_58compexch_layer_09_to_10_sites_57_58
compExch compexch_layer_08_to_09_sites_58_60compexch_layer_08_to_09_sites_58_60
compExch compexch_layer_05_to_06_sites_13_14compexch_layer_05_to_06_sites_13_14
compExch compexch_layer_20_to_21_sites_57_58compexch_layer_20_to_21_sites_57_58
compExch compexch_layer_05_to_06_sites_53_54compexch_layer_05_to_06_sites_53_54
out or_allstd_logic
Definition: or_all.vhd:35
compExch compexch_layer_04_to_05_sites_59_61compexch_layer_04_to_05_sites_59_61
compExch compexch_layer_17_to_18_sites_24_32compexch_layer_17_to_18_sites_24_32
compExch compexch_layer_09_to_10_sites_61_62compexch_layer_09_to_10_sites_61_62
compExch compexch_layer_03_to_04_sites_24_28compexch_layer_03_to_04_sites_24_28
compExch compexch_layer_00_to_01_sites_40_41compexch_layer_00_to_01_sites_40_41
Definition: cp_decoder.vhd:791
compExch compexch_layer_14_to_15_sites_53_54compexch_layer_14_to_15_sites_53_54
compExch compexch_layer_12_to_13_sites_13_17compexch_layer_12_to_13_sites_13_17
compExch compexch_layer_02_to_03_sites_45_46compexch_layer_02_to_03_sites_45_46
Definition: cp_decoder.vhd:965
compExch compexch_layer_14_to_15_sites_15_16compexch_layer_14_to_15_sites_15_16
compExch compexch_layer_06_to_07_sites_21_29compexch_layer_06_to_07_sites_21_29
compExch compexch_layer_19_to_20_sites_11_13compexch_layer_19_to_20_sites_11_13
numbitsinteger :=2
Definition: or_all.vhd:31
compExch compexch_layer_19_to_20_sites_02_04compexch_layer_19_to_20_sites_02_04
compExch compexch_layer_04_to_05_sites_35_37compexch_layer_04_to_05_sites_35_37
compExch compexch_layer_19_to_20_sites_03_05compexch_layer_19_to_20_sites_03_05
compExch compexch_layer_20_to_21_sites_11_12compexch_layer_20_to_21_sites_11_12
compExch compexch_layer_06_to_07_sites_23_31compexch_layer_06_to_07_sites_23_31
compExch compexch_layer_10_to_11_sites_47_63compexch_layer_10_to_11_sites_47_63
compExch compexch_layer_17_to_18_sites_14_22compexch_layer_17_to_18_sites_14_22
compExch compexch_layer_03_to_04_sites_32_36compexch_layer_03_to_04_sites_32_36
compExch compexch_layer_20_to_21_sites_45_46compexch_layer_20_to_21_sites_45_46
compExch compexch_layer_13_to_14_sites_03_05compexch_layer_13_to_14_sites_03_05
compExch compexch_layer_11_to_12_sites_09_17compexch_layer_11_to_12_sites_09_17
compExch compexch_layer_14_to_15_sites_21_22compexch_layer_14_to_15_sites_21_22
compExch compexch_layer_18_to_19_sites_31_35compexch_layer_18_to_19_sites_31_35
compExch compexch_layer_19_to_20_sites_30_32compexch_layer_19_to_20_sites_30_32
compExch compexch_layer_03_to_04_sites_57_61compexch_layer_03_to_04_sites_57_61
type_TOB_sort_layers TobLayerOut
compExch compexch_layer_10_to_11_sites_05_21compexch_layer_10_to_11_sites_05_21
compExch compexch_layer_03_to_04_sites_17_21compexch_layer_03_to_04_sites_17_21
compExch compexch_layer_05_to_06_sites_37_38compexch_layer_05_to_06_sites_37_38
compExch compexch_layer_13_to_14_sites_58_60compexch_layer_13_to_14_sites_58_60
compExch compexch_layer_00_to_01_sites_58_59compexch_layer_00_to_01_sites_58_59
Definition: cp_decoder.vhd:827
compExch compexch_layer_14_to_15_sites_57_58compexch_layer_14_to_15_sites_57_58
compExch compexch_layer_16_to_17_sites_21_37compexch_layer_16_to_17_sites_21_37
out data_vme_upstd_logic_vector (15 downto 0)
--! connect this to
compExch compexch_layer_18_to_19_sites_38_42compexch_layer_18_to_19_sites_38_42
compExch compexch_layer_01_to_02_sites_25_27compexch_layer_01_to_02_sites_25_27
Definition: cp_decoder.vhd:906
compExch compexch_layer_08_to_09_sites_35_37compexch_layer_08_to_09_sites_35_37
compExch compexch_layer_15_to_16_sites_26_58compexch_layer_15_to_16_sites_26_58
compExch compexch_layer_11_to_12_sites_13_21compexch_layer_11_to_12_sites_13_21
compExch compexch_layer_12_to_13_sites_12_16compexch_layer_12_to_13_sites_12_16
compExch compexch_layer_08_to_09_sites_55_57compexch_layer_08_to_09_sites_55_57
compExch compexch_layer_12_to_13_sites_05_09compexch_layer_12_to_13_sites_05_09
compExch compexch_layer_17_to_18_sites_28_36compexch_layer_17_to_18_sites_28_36
std_logic_vector ((4 * max_cps) + 4 + (max_cps * num_presence_bits_pcp) * 2 - 1 downto 0) bus_drive_local)
Definition: cp_decoder.vhd:63
compExch compexch_layer_20_to_21_sites_37_38compexch_layer_20_to_21_sites_37_38
compExch compexch_layer_19_to_20_sites_39_41compexch_layer_19_to_20_sites_39_41
compExch compexch_layer_18_to_19_sites_36_40compexch_layer_18_to_19_sites_36_40
compExch compexch_layer_14_to_15_sites_03_04compexch_layer_14_to_15_sites_03_04
compExch compexch_layer_13_to_14_sites_51_53compexch_layer_13_to_14_sites_51_53
compExch compexch_layer_19_to_20_sites_14_16compexch_layer_19_to_20_sites_14_16
compExch compexch_layer_08_to_09_sites_42_44compexch_layer_08_to_09_sites_42_44
pos_type roi_pos
compExch compexch_layer_14_to_15_sites_43_44compexch_layer_14_to_15_sites_43_44
et2_widthinteger :=10
Definition: jet_decoder.vhd:35
compExch compexch_layer_15_to_16_sites_16_48compexch_layer_15_to_16_sites_16_48
in addr_vmestd_logic_vector (15 downto 0)
compExch compexch_layer_02_to_03_sites_53_54compexch_layer_02_to_03_sites_53_54
Definition: cp_decoder.vhd:987
compExch compexch_layer_01_to_02_sites_49_51compexch_layer_01_to_02_sites_49_51
Definition: cp_decoder.vhd:972
compExch compexch_layer_12_to_13_sites_04_08compexch_layer_12_to_13_sites_04_08
compExch compexch_layer_20_to_21_sites_01_02compexch_layer_20_to_21_sites_01_02
compExch compexch_layer_12_to_13_sites_54_58compexch_layer_12_to_13_sites_54_58
compExch compexch_layer_07_to_08_sites_23_27compexch_layer_07_to_08_sites_23_27
std_logic_vector (32 downto 0) all_one_thirtythree
compExch compexch_layer_15_to_16_sites_25_57compexch_layer_15_to_16_sites_25_57
out data_vme_outstd_logic_vector (15 downto 0)
Definition: jet_decoder.vhd:68
compExch compexch_layer_18_to_19_sites_52_56compexch_layer_18_to_19_sites_52_56
compExch compexch_layer_20_to_21_sites_55_56compexch_layer_20_to_21_sites_55_56
compExch compexch_layer_10_to_11_sites_10_26compexch_layer_10_to_11_sites_10_26
max_tobs_pjeminteger :=4
Definition: jet_decoder.vhd:34
compExch compexch_layer_20_to_21_sites_03_04compexch_layer_20_to_21_sites_03_04
compExch compexch_layer_15_to_16_sites_15_47compexch_layer_15_to_16_sites_15_47
in dataiarr_4Xword (max_jems - 1 downto 0)
Definition: jet_decoder.vhd:47
compExch compexch_layer_01_to_02_sites_20_22compexch_layer_01_to_02_sites_20_22
Definition: cp_decoder.vhd:894
type_TOB_sort_layers TobLayerIn
compExch compexch_layer_13_to_14_sites_18_20compexch_layer_13_to_14_sites_18_20
compExch compexch_layer_06_to_07_sites_55_63compexch_layer_06_to_07_sites_55_63
compExch compexch_layer_12_to_13_sites_20_24compexch_layer_12_to_13_sites_20_24
in ncsstd_logic
Definition: jet_decoder.vhd:64
compExch compexch_layer_13_to_14_sites_10_12compexch_layer_13_to_14_sites_10_12
compExch compexch_layer_19_to_20_sites_42_44compexch_layer_19_to_20_sites_42_44
in BCID_instd_logic_vector (11 downto 0)
Definition: jet_decoder.vhd:56
compExch compexch_layer_09_to_10_sites_25_26compexch_layer_09_to_10_sites_25_26
compExch compexch_layer_01_to_02_sites_48_50compexch_layer_01_to_02_sites_48_50
Definition: cp_decoder.vhd:971
std_logic overflow_local_any
out bus_drive_upstd_logic
or of all bus drive requests from below
compExch compexch_layer_06_to_07_sites_00_08compexch_layer_06_to_07_sites_00_08
compExch compexch_layer_10_to_11_sites_36_52compexch_layer_10_to_11_sites_36_52
compExch compexch_layer_15_to_16_sites_09_41compexch_layer_15_to_16_sites_09_41
compExch compexch_layer_07_to_08_sites_52_56compexch_layer_07_to_08_sites_52_56
compExch compexch_layer_15_to_16_sites_20_52compexch_layer_15_to_16_sites_20_52
compExch compexch_layer_06_to_07_sites_03_11compexch_layer_06_to_07_sites_03_11
compExch compexch_layer_02_to_03_sites_09_10compexch_layer_02_to_03_sites_09_10
Definition: cp_decoder.vhd:866
compExch compexch_layer_04_to_05_sites_27_29compexch_layer_04_to_05_sites_27_29
compExch compexch_layer_09_to_10_sites_45_46compexch_layer_09_to_10_sites_45_46
compExch compexch_layer_06_to_07_sites_36_44compexch_layer_06_to_07_sites_36_44
compExch compexch_layer_10_to_11_sites_33_49compexch_layer_10_to_11_sites_33_49
compExch compexch_layer_17_to_18_sites_46_54compexch_layer_17_to_18_sites_46_54
compExch compexch_layer_03_to_04_sites_16_20compexch_layer_03_to_04_sites_16_20
compExch compexch_layer_15_to_16_sites_29_61compexch_layer_15_to_16_sites_29_61
compExch compexch_layer_08_to_09_sites_39_41compexch_layer_08_to_09_sites_39_41
compExch compexch_layer_04_to_05_sites_43_45compexch_layer_04_to_05_sites_43_45
compExch compexch_layer_10_to_11_sites_41_57compexch_layer_10_to_11_sites_41_57
compExch compexch_layer_09_to_10_sites_51_52compexch_layer_09_to_10_sites_51_52
compExch compexch_layer_10_to_11_sites_42_58compexch_layer_10_to_11_sites_42_58
compExch compexch_layer_02_to_03_sites_61_62compexch_layer_02_to_03_sites_61_62
compExch compexch_layer_18_to_19_sites_44_48compexch_layer_18_to_19_sites_44_48
compExch compexch_layer_14_to_15_sites_51_52compexch_layer_14_to_15_sites_51_52
compExch compexch_layer_05_to_06_sites_19_20compexch_layer_05_to_06_sites_19_20
compExch compexch_layer_13_to_14_sites_59_61compexch_layer_13_to_14_sites_59_61
compExch compexch_layer_01_to_02_sites_28_30compexch_layer_01_to_02_sites_28_30
Definition: cp_decoder.vhd:916
compExch compexch_layer_20_to_21_sites_21_22compexch_layer_20_to_21_sites_21_22
compExch compexch_layer_00_to_01_sites_38_39compexch_layer_00_to_01_sites_38_39
Definition: cp_decoder.vhd:787
compExch compexch_layer_08_to_09_sites_34_36compexch_layer_08_to_09_sites_34_36
compExch compexch_layer_10_to_11_sites_13_29compexch_layer_10_to_11_sites_13_29
compExch compexch_layer_06_to_07_sites_18_26compexch_layer_06_to_07_sites_18_26
compExch compexch_layer_14_to_15_sites_49_50compexch_layer_14_to_15_sites_49_50
compExch compexch_layer_15_to_16_sites_06_38compexch_layer_15_to_16_sites_06_38
compExch compexch_layer_18_to_19_sites_45_49compexch_layer_18_to_19_sites_45_49
compExch compexch_layer_17_to_18_sites_25_33compexch_layer_17_to_18_sites_25_33
compExch compexch_layer_00_to_01_sites_48_49compexch_layer_00_to_01_sites_48_49
Definition: cp_decoder.vhd:807
compExch compexch_layer_19_to_20_sites_54_56compexch_layer_19_to_20_sites_54_56
compExch compexch_layer_20_to_21_sites_33_34compexch_layer_20_to_21_sites_33_34
compExch compexch_layer_13_to_14_sites_43_45compexch_layer_13_to_14_sites_43_45
compExch compexch_layer_20_to_21_sites_41_42compexch_layer_20_to_21_sites_41_42
compExch compexch_layer_03_to_04_sites_34_38compexch_layer_03_to_04_sites_34_38
compExch compexch_layer_01_to_02_sites_16_18compexch_layer_01_to_02_sites_16_18
Definition: cp_decoder.vhd:883
compExch compexch_layer_14_to_15_sites_59_60compexch_layer_14_to_15_sites_59_60
compExch compexch_layer_15_to_16_sites_07_39compexch_layer_15_to_16_sites_07_39
et1_widthinteger :=9
Definition: jet_decoder.vhd:36
compExch compexch_layer_03_to_04_sites_26_30compexch_layer_03_to_04_sites_26_30
compExch compexch_layer_10_to_11_sites_32_48compexch_layer_10_to_11_sites_32_48
compExch compexch_layer_20_to_21_sites_19_20compexch_layer_20_to_21_sites_19_20
compExch compexch_layer_00_to_01_sites_00_01compexch_layer_00_to_01_sites_00_01
Definition: cp_decoder.vhd:711
compExch compexch_layer_11_to_12_sites_44_52compexch_layer_11_to_12_sites_44_52
compExch compexch_layer_20_to_21_sites_09_10compexch_layer_20_to_21_sites_09_10
compExch compexch_layer_09_to_10_sites_05_06compexch_layer_09_to_10_sites_05_06
compExch compexch_layer_15_to_16_sites_22_54compexch_layer_15_to_16_sites_22_54
compExch compexch_layer_04_to_05_sites_34_36compexch_layer_04_to_05_sites_34_36
compExch compexch_layer_00_to_01_sites_10_11compexch_layer_00_to_01_sites_10_11
Definition: cp_decoder.vhd:731
compExch compexch_layer_16_to_17_sites_23_39compexch_layer_16_to_17_sites_23_39
compExch compexch_layer_20_to_21_sites_53_54compexch_layer_20_to_21_sites_53_54
compExch compexch_layer_15_to_16_sites_05_37compexch_layer_15_to_16_sites_05_37
compExch compexch_layer_05_to_06_sites_29_30compexch_layer_05_to_06_sites_29_30
compExch compexch_layer_19_to_20_sites_22_24compexch_layer_19_to_20_sites_22_24
compExch compexch_layer_20_to_21_sites_23_24compexch_layer_20_to_21_sites_23_24
compExch compexch_layer_16_to_17_sites_30_46compexch_layer_16_to_17_sites_30_46
compExch compexch_layer_00_to_01_sites_20_21compexch_layer_00_to_01_sites_20_21
Definition: cp_decoder.vhd:751
compExch compexch_layer_00_to_01_sites_42_43compexch_layer_00_to_01_sites_42_43
Definition: cp_decoder.vhd:795
compExch compexch_layer_19_to_20_sites_34_36compexch_layer_19_to_20_sites_34_36
compExch compexch_layer_15_to_16_sites_14_46compexch_layer_15_to_16_sites_14_46
std_logic counter_inhibit_r_local
compExch compexch_layer_14_to_15_sites_07_08compexch_layer_14_to_15_sites_07_08
compExch compexch_layer_03_to_04_sites_27_31compexch_layer_03_to_04_sites_27_31
compExch compexch_layer_01_to_02_sites_29_31compexch_layer_01_to_02_sites_29_31
Definition: cp_decoder.vhd:917
compExch compexch_layer_20_to_21_sites_31_32compexch_layer_20_to_21_sites_31_32
compExch compexch_layer_11_to_12_sites_45_53compexch_layer_11_to_12_sites_45_53
compExch compexch_layer_05_to_06_sites_09_10compexch_layer_05_to_06_sites_09_10
compExch compexch_layer_10_to_11_sites_08_24compexch_layer_10_to_11_sites_08_24
in DATAstd_logic_vector (numbits - 1 downto 0)
Definition: or_all.vhd:34
compExch compexch_layer_06_to_07_sites_22_30compexch_layer_06_to_07_sites_22_30
compExch compexch_layer_17_to_18_sites_47_55compexch_layer_17_to_18_sites_47_55
compExch compexch_layer_03_to_04_sites_11_15compexch_layer_03_to_04_sites_11_15
compExch compexch_layer_19_to_20_sites_31_33compexch_layer_19_to_20_sites_31_33
compExch compexch_layer_10_to_11_sites_04_20compexch_layer_10_to_11_sites_04_20
compExch compexch_layer_13_to_14_sites_23_25compexch_layer_13_to_14_sites_23_25
arr_TOB (max_tobs_tot - 1 downto 0) TOBs_input
Definition: cp_decoder.vhd:150
compExch compexch_layer_06_to_07_sites_07_15compexch_layer_06_to_07_sites_07_15
compExch compexch_layer_14_to_15_sites_25_26compexch_layer_14_to_15_sites_25_26
in addr_vmestd_logic_vector (15 downto 0)
Definition: jet_decoder.vhd:67
compExch compexch_layer_00_to_01_sites_14_15compexch_layer_00_to_01_sites_14_15
Definition: cp_decoder.vhd:739
compExch compexch_layer_14_to_15_sites_23_24compexch_layer_14_to_15_sites_23_24
compExch compexch_layer_09_to_10_sites_49_50compexch_layer_09_to_10_sites_49_50
compExch compexch_layer_08_to_09_sites_54_56compexch_layer_08_to_09_sites_54_56
compExch compexch_layer_10_to_11_sites_44_60compexch_layer_10_to_11_sites_44_60
compExch compexch_layer_05_to_06_sites_21_22compexch_layer_05_to_06_sites_21_22
compExch compexch_layer_06_to_07_sites_38_46compexch_layer_06_to_07_sites_38_46
compExch compexch_layer_08_to_09_sites_18_20compexch_layer_08_to_09_sites_18_20
compExch compexch_layer_20_to_21_sites_61_62compexch_layer_20_to_21_sites_61_62
compExch compexch_layer_00_to_01_sites_18_19compexch_layer_00_to_01_sites_18_19
Definition: cp_decoder.vhd:747
compExch compexch_layer_09_to_10_sites_27_28compexch_layer_09_to_10_sites_27_28
compExch compexch_layer_10_to_11_sites_00_16compexch_layer_10_to_11_sites_00_16
compExch compexch_layer_01_to_02_sites_05_07compexch_layer_01_to_02_sites_05_07
Definition: cp_decoder.vhd:851
compExch compexch_layer_02_to_03_sites_49_50compexch_layer_02_to_03_sites_49_50
Definition: cp_decoder.vhd:976
compExch compexch_layer_01_to_02_sites_52_54compexch_layer_01_to_02_sites_52_54
Definition: cp_decoder.vhd:982
compExch compexch_layer_14_to_15_sites_01_02compexch_layer_14_to_15_sites_01_02
roipos roipos_singleroipos_single
Definition: cp_decoder.vhd:244
compExch compexch_layer_15_to_16_sites_04_36compexch_layer_15_to_16_sites_04_36
compExch compexch_layer_15_to_16_sites_12_44compexch_layer_15_to_16_sites_12_44
compExch compexch_layer_05_to_06_sites_43_44compexch_layer_05_to_06_sites_43_44
max_tobs_topointeger :=24
Definition: jet_decoder.vhd:32
out LJetTOB
Definition: compExch.vhd:26
compExch compexch_layer_05_to_06_sites_57_58compexch_layer_05_to_06_sites_57_58
compExch compexch_layer_04_to_05_sites_58_60compexch_layer_04_to_05_sites_58_60
compExch compexch_layer_06_to_07_sites_32_40compexch_layer_06_to_07_sites_32_40
compExch compexch_layer_07_to_08_sites_54_58compexch_layer_07_to_08_sites_54_58
compExch compexch_layer_01_to_02_sites_32_34compexch_layer_01_to_02_sites_32_34
Definition: cp_decoder.vhd:927
compExch compexch_layer_12_to_13_sites_21_25compexch_layer_12_to_13_sites_21_25
compExch compexch_layer_15_to_16_sites_28_60compexch_layer_15_to_16_sites_28_60
compExch compexch_layer_15_to_16_sites_11_43compexch_layer_15_to_16_sites_11_43
compExch compexch_layer_14_to_15_sites_11_12compexch_layer_14_to_15_sites_11_12
arr_19 (num_copies - 1 downto 0) Et2Et1_overflowing_TOB
compExch compexch_layer_01_to_02_sites_61_63compexch_layer_01_to_02_sites_61_63
compExch compexch_layer_16_to_17_sites_28_44compexch_layer_16_to_17_sites_28_44
compExch compexch_layer_00_to_01_sites_56_57compexch_layer_00_to_01_sites_56_57
Definition: cp_decoder.vhd:823
compExch compexch_layer_07_to_08_sites_07_11compexch_layer_07_to_08_sites_07_11
compExch compexch_layer_12_to_13_sites_22_26compexch_layer_12_to_13_sites_22_26
compExch compexch_layer_19_to_20_sites_38_40compexch_layer_19_to_20_sites_38_40
compExch compexch_layer_01_to_02_sites_45_47compexch_layer_01_to_02_sites_45_47
Definition: cp_decoder.vhd:961
compExch compexch_layer_01_to_02_sites_41_43compexch_layer_01_to_02_sites_41_43
Definition: cp_decoder.vhd:950
compExch compexch_layer_10_to_11_sites_06_22compexch_layer_10_to_11_sites_06_22
compExch compexch_layer_03_to_04_sites_09_13compexch_layer_03_to_04_sites_09_13
compExch compexch_layer_15_to_16_sites_10_42compexch_layer_15_to_16_sites_10_42
compExch compexch_layer_09_to_10_sites_11_12compexch_layer_09_to_10_sites_11_12
compExch compexch_layer_09_to_10_sites_13_14compexch_layer_09_to_10_sites_13_14
compExch compexch_layer_02_to_03_sites_41_42compexch_layer_02_to_03_sites_41_42
Definition: cp_decoder.vhd:954
compExch compexch_layer_04_to_05_sites_11_13compexch_layer_04_to_05_sites_11_13
compExch compexch_layer_00_to_01_sites_52_53compexch_layer_00_to_01_sites_52_53
Definition: cp_decoder.vhd:815
compExch compexch_layer_16_to_17_sites_24_40compexch_layer_16_to_17_sites_24_40
compExch compexch_layer_03_to_04_sites_35_39compexch_layer_03_to_04_sites_35_39
out overflowstd_logic_vector (num_copies - 1 downto 0)
Definition: jet_decoder.vhd:51
compExch compexch_layer_05_to_06_sites_33_34compexch_layer_05_to_06_sites_33_34
compExch compexch_layer_20_to_21_sites_05_06compexch_layer_20_to_21_sites_05_06
compExch compexch_layer_10_to_11_sites_11_27compexch_layer_10_to_11_sites_11_27
compExch compexch_layer_02_to_03_sites_17_18compexch_layer_02_to_03_sites_17_18
Definition: cp_decoder.vhd:888
compExch compexch_layer_00_to_01_sites_08_09compexch_layer_00_to_01_sites_08_09
Definition: cp_decoder.vhd:727
compExch compexch_layer_13_to_14_sites_42_44compexch_layer_13_to_14_sites_42_44
compExch compexch_layer_04_to_05_sites_50_52compexch_layer_04_to_05_sites_50_52
in clk40MHzstd_logic
Definition: jet_decoder.vhd:42
compExch compexch_layer_19_to_20_sites_43_45compexch_layer_19_to_20_sites_43_45
compExch compexch_layer_00_to_01_sites_30_31compexch_layer_00_to_01_sites_30_31
Definition: cp_decoder.vhd:771
compExch compexch_layer_01_to_02_sites_12_14compexch_layer_01_to_02_sites_12_14
Definition: cp_decoder.vhd:872
compExch compexch_layer_13_to_14_sites_54_56compexch_layer_13_to_14_sites_54_56
compExch compexch_layer_18_to_19_sites_29_33compexch_layer_18_to_19_sites_29_33
compExch compexch_layer_08_to_09_sites_51_53compexch_layer_08_to_09_sites_51_53
compExch compexch_layer_02_to_03_sites_29_30compexch_layer_02_to_03_sites_29_30
Definition: cp_decoder.vhd:921
compExch compexch_layer_16_to_17_sites_19_35compexch_layer_16_to_17_sites_19_35
compExch compexch_layer_03_to_04_sites_08_12compexch_layer_03_to_04_sites_08_12
compExch compexch_layer_06_to_07_sites_48_56compexch_layer_06_to_07_sites_48_56
compExch compexch_layer_17_to_18_sites_42_50compexch_layer_17_to_18_sites_42_50
compExch compexch_layer_17_to_18_sites_45_53compexch_layer_17_to_18_sites_45_53
compExch compexch_layer_13_to_14_sites_19_21compexch_layer_13_to_14_sites_19_21
compExch compexch_layer_02_to_03_sites_01_02compexch_layer_02_to_03_sites_01_02
Definition: cp_decoder.vhd:844
compExch compexch_layer_11_to_12_sites_47_55compexch_layer_11_to_12_sites_47_55
compExch compexch_layer_10_to_11_sites_03_19compexch_layer_10_to_11_sites_03_19
compExch compexch_layer_00_to_01_sites_32_33compexch_layer_00_to_01_sites_32_33
Definition: cp_decoder.vhd:775
compExch compexch_layer_02_to_03_sites_13_14compexch_layer_02_to_03_sites_13_14
Definition: cp_decoder.vhd:877
compExch compexch_layer_20_to_21_sites_35_36compexch_layer_20_to_21_sites_35_36
compExch compexch_layer_02_to_03_sites_37_38compexch_layer_02_to_03_sites_37_38
Definition: cp_decoder.vhd:943
num_tobs ntobs
compExch compexch_layer_09_to_10_sites_23_24compexch_layer_09_to_10_sites_23_24
compExch compexch_layer_16_to_17_sites_31_47compexch_layer_16_to_17_sites_31_47
compExch compexch_layer_13_to_14_sites_39_41compexch_layer_13_to_14_sites_39_41
compExch compexch_layer_03_to_04_sites_42_46compexch_layer_03_to_04_sites_42_46
compExch compexch_layer_15_to_16_sites_02_34compexch_layer_15_to_16_sites_02_34
compExch compexch_layer_03_to_04_sites_40_44compexch_layer_03_to_04_sites_40_44
test registers
compExch compexch_layer_12_to_13_sites_36_40compexch_layer_12_to_13_sites_36_40
compExch compexch_layer_16_to_17_sites_20_36compexch_layer_16_to_17_sites_20_36
compExch compexch_layer_03_to_04_sites_19_23compexch_layer_03_to_04_sites_19_23
compExch compexch_layer_17_to_18_sites_31_39compexch_layer_17_to_18_sites_31_39
compExch compexch_layer_13_to_14_sites_02_04compexch_layer_13_to_14_sites_02_04
std_logic_vector (num_copies - 1 downto 0) overflow_sig
compExch compexch_layer_16_to_17_sites_22_38compexch_layer_16_to_17_sites_22_38
compExch compexch_layer_10_to_11_sites_01_17compexch_layer_10_to_11_sites_01_17
compExch compexch_layer_03_to_04_sites_50_54compexch_layer_03_to_04_sites_50_54
compExch compexch_layer_08_to_09_sites_07_09compexch_layer_08_to_09_sites_07_09
compExch compexch_layer_19_to_20_sites_06_08compexch_layer_19_to_20_sites_06_08
compExch compexch_layer_20_to_21_sites_13_14compexch_layer_20_to_21_sites_13_14
in pll_lockedstd_logic
Definition: jet_decoder.vhd:46
compExch compexch_layer_00_to_01_sites_50_51compexch_layer_00_to_01_sites_50_51
Definition: cp_decoder.vhd:811
compExch compexch_layer_20_to_21_sites_07_08compexch_layer_20_to_21_sites_07_08
compExch compexch_layer_08_to_09_sites_43_45compexch_layer_08_to_09_sites_43_45
in clk40MHz_m180ostd_logic
Definition: jet_decoder.vhd:45
compExch compexch_layer_12_to_13_sites_55_59compexch_layer_12_to_13_sites_55_59
compExch compexch_layer_00_to_01_sites_16_17compexch_layer_00_to_01_sites_16_17
Definition: cp_decoder.vhd:743
compExch compexch_layer_13_to_14_sites_27_29compexch_layer_13_to_14_sites_27_29
compExch compexch_layer_09_to_10_sites_43_44compexch_layer_09_to_10_sites_43_44
compExch compexch_layer_03_to_04_sites_00_04compexch_layer_03_to_04_sites_00_04
compExch compexch_layer_05_to_06_sites_27_28compexch_layer_05_to_06_sites_27_28
compExch compexch_layer_15_to_16_sites_00_32compexch_layer_15_to_16_sites_00_32
compExch compexch_layer_13_to_14_sites_38_40compexch_layer_13_to_14_sites_38_40
compExch compexch_layer_09_to_10_sites_33_34compexch_layer_09_to_10_sites_33_34
compExch compexch_layer_03_to_04_sites_59_63compexch_layer_03_to_04_sites_59_63
compExch compexch_layer_15_to_16_sites_08_40compexch_layer_15_to_16_sites_08_40
compExch compexch_layer_15_to_16_sites_01_33compexch_layer_15_to_16_sites_01_33
compExch compexch_layer_11_to_12_sites_41_49compexch_layer_11_to_12_sites_41_49
compExch compexch_layer_20_to_21_sites_15_16compexch_layer_20_to_21_sites_15_16
out bus_drivestd_logic
Definition: jet_decoder.vhd:69
compExch compexch_layer_19_to_20_sites_07_09compexch_layer_19_to_20_sites_07_09
compExch compexch_layer_18_to_19_sites_54_58compexch_layer_18_to_19_sites_54_58
max_jemsinteger :=16
Definition: jet_decoder.vhd:33
compExch compexch_layer_15_to_16_sites_23_55compexch_layer_15_to_16_sites_23_55
compExch compexch_layer_02_to_03_sites_33_34compexch_layer_02_to_03_sites_33_34
Definition: cp_decoder.vhd:932
compExch compexch_layer_07_to_08_sites_06_10compexch_layer_07_to_08_sites_06_10
in data_to_vmestd_logic_vector (width - 1 downto 0)
compExch compexch_layer_08_to_09_sites_11_13compexch_layer_08_to_09_sites_11_13
compExch compexch_layer_07_to_08_sites_55_59compexch_layer_07_to_08_sites_55_59
compExch compexch_layer_08_to_09_sites_23_25compexch_layer_08_to_09_sites_23_25
compExch compexch_layer_18_to_19_sites_22_26compexch_layer_18_to_19_sites_22_26
compExch compexch_layer_01_to_02_sites_53_55compexch_layer_01_to_02_sites_53_55
Definition: cp_decoder.vhd:983
compExch compexch_layer_10_to_11_sites_07_23compexch_layer_10_to_11_sites_07_23
compExch compexch_layer_00_to_01_sites_22_23compexch_layer_00_to_01_sites_22_23
Definition: cp_decoder.vhd:755
compExch compexch_layer_15_to_16_sites_17_49compexch_layer_15_to_16_sites_17_49
compExch compexch_layer_18_to_19_sites_04_08compexch_layer_18_to_19_sites_04_08
compExch compexch_layer_04_to_05_sites_03_05compexch_layer_04_to_05_sites_03_05
compExch compexch_layer_11_to_12_sites_43_51compexch_layer_11_to_12_sites_43_51
compExch compexch_layer_13_to_14_sites_06_08compexch_layer_13_to_14_sites_06_08
compExch compexch_layer_19_to_20_sites_55_57compexch_layer_19_to_20_sites_55_57
in counter_resetstd_logic
Definition: jet_decoder.vhd:62
compExch compexch_layer_05_to_06_sites_41_42compexch_layer_05_to_06_sites_41_42
compExch compexch_layer_01_to_02_sites_33_35compexch_layer_01_to_02_sites_33_35
Definition: cp_decoder.vhd:928
in bus_drive_from_belowstd_logic_vector
compExch compexch_layer_06_to_07_sites_54_62compexch_layer_06_to_07_sites_54_62
compExch compexch_layer_04_to_05_sites_02_04compexch_layer_04_to_05_sites_02_04
compExch compexch_layer_12_to_13_sites_38_42compexch_layer_12_to_13_sites_38_42
compExch compexch_layer_11_to_12_sites_15_23compexch_layer_11_to_12_sites_15_23
compExch compexch_layer_09_to_10_sites_55_56compexch_layer_09_to_10_sites_55_56
compExch compexch_layer_01_to_02_sites_13_15compexch_layer_01_to_02_sites_13_15
Definition: cp_decoder.vhd:873
compExch compexch_layer_12_to_13_sites_53_57compexch_layer_12_to_13_sites_53_57
compExch compexch_layer_07_to_08_sites_37_41compexch_layer_07_to_08_sites_37_41
compExch compexch_layer_06_to_07_sites_35_43compexch_layer_06_to_07_sites_35_43