1 ----------------------------------------------------------------------------------
13 ----------------------------------------------------------------------------------
15 use IEEE.STD_LOGIC_1164.
ALL;
17 use IEEE.STD_LOGIC_UNSIGNED.
ALL;
18 use IEEE.STD_LOGIC_ARITH.CONV_STD_LOGIC_VECTOR;
26 use UNISIM.VComponents.
all;
52 --tot_Et2 : out std_logic_vector(et2_width*max_tobs_topo-1 downto 0); -- output to L1Topo
53 --tot_Et1 : out std_logic_vector(et1_width*max_tobs_topo-1 downto 0); -- output to L1Topo
54 --tot_pos : out std_logic_vector(pos_width*max_tobs_topo-1 downto 0); -- output to L1Topo
55 --overflow : out std_logic;
60 --tob rate counter contol
75 --attribute keep : string;
116 addra :
in (
7 downto 0);
117 douta :
out (
11 downto 0)
124 addra:
IN (
7 downto 0);
125 douta:
OUT (
3 downto 0));
144 -- overflw_del0 : std_logic_vector(max_jems-1 downto 0);
155 --signal ntobs_i : ntobs_type;
158 --signal TOBs_output : arr_TOB(63 downto 0);
163 --component chipscope_ila_jet_decoder is
165 -- CONTROL : inout std_logic_vector(35 downto 0);
166 -- CLK : in std_logic;
167 -- DATA : in std_logic_vector(377 downto 0);
168 -- TRIG0 : in std_logic_vector(0 to 0));
169 --end component chipscope_ila_jet_decoder;
171 --signal CONTROL : std_logic_vector(35 downto 0);
172 --signal DATA_ila_jet_decoder : std_logic_vector(377 downto 0);
173 --signal TRIG0_ila_jet_decoder : std_logic_vector(0 to 0);
175 --component chipscope_icon_u2_c1 is
177 -- CONTROL0 : inout std_logic_vector(35 downto 0));
178 --end component chipscope_icon_u2_c1;
195 --attribute keep of Tobs_to_TOPO_sig: signal is "TRUE";
218 data_parser_0: for i_jem in 0 to (max_jems-1) generate
225 TOBs_input(i_jem*max_tobs_pjem+j_tob).Et1(arr_addr_Et1_LSword_size(j_tob)-1 downto 0)<=datai(i_jem)(arr_addr_Et1_LSword_loc_Hi(j_tob) downto arr_addr_Et1_LSword_loc_Lo(j_tob));
226 TOBs_input(i_jem*max_tobs_pjem+j_tob).Et1(8 downto arr_addr_Et1_LSword_size(j_tob))<=datai(i_jem)(arr_addr_Et1_MSword_loc_Hi(j_tob) downto arr_addr_Et1_MSword_loc_Lo(j_tob));
233 end generate data_parser_1;
234 end generate data_parser_0;
237 --gen_a: for i in 0 to max_tobs_topo-1 generate
238 -- tot_Et2((10*i)+9 downto 10*i) <= TOBs_output(i).Et2;
239 -- tot_Et1((9*i)+8 downto 9*i) <= TOBs_output(i).Et1;
240 -- tot_pos((9*i)+8 downto 9*i) <= TOBs_output(i).pos;
243 roipos_i: for i in 0 to (max_jems-1) generate
251 multiply_i: for i in 0 to (max_jems-1) generate
279 ia_vme => ADDR_REG_RO_TOB_COUNTER+4*i ,
292 ia_vme => ADDR_REG_RO_TOB_COUNTER+4*i+2,
304 gen_presence_counter: for i_pres_bit in 0 to (num_presence_bits_pjem-1) generate
305 constant i_counter : :=i*num_presence_bits_pjem+i_pres_bit;
326 ia_vme => ADDR_REG_RO_PRESENCE_COUNTER+4*i_counter,
339 ia_vme => ADDR_REG_RO_PRESENCE_COUNTER+4*i_counter+2,
350 end generate gen_presence_counter;
356 ov_local_gen: for i_jem in 0 to (max_jems-1) generate
361 if (ntobs(i_jem))>to_unsigned(4,4) then
373 local_backplane_overflow_counter(i_jem)<=to_unsigned(
0,
33);
376 local_backplane_overflow_counter(i_jem)<=unsigned(all_one_thirtythree);
379 local_backplane_overflow_counter(i_jem)<=local_backplane_overflow_counter(i_jem)+1;
381 local_backplane_overflow_counter(i_jem)<=local_backplane_overflow_counter(i_jem);
391 ia_vme => ADDR_REG_RO_LOCAL_BACKPLANE_OVERFLOW_COUNTER+4*i_jem,
404 ia_vme => ADDR_REG_RO_LOCAL_BACKPLANE_OVERFLOW_COUNTER+4*i_jem+2,
415 end generate ov_local_gen;
418 or_all_ov_local_inst:
entity work.
or_all
454 ia_vme => ADDR_REG_RO_GLOBAL_BACKPLANE_OVERFLOW_COUNTER,
467 ia_vme => ADDR_REG_RO_GLOBAL_BACKPLANE_OVERFLOW_COUNTER+2,
482 gen_layers: for i_layer in 0 to num_sort_layers-2 generate
484 gen_layer_connection_no_reg: if (
494 (i_layer/=19) ) generate
496 end generate gen_layer_connection_no_reg;
498 gen_layer_connection_L1_to_L2_reg: if i_layer=1 generate
505 end generate gen_layer_connection_L1_to_L2_reg;
507 gen_layer_connection_L3_to_L4_reg: if i_layer=3 generate
514 end generate gen_layer_connection_L3_to_L4_reg;
516 gen_layer_connection_L5_to_L6_reg: if i_layer=5 generate
523 end generate gen_layer_connection_L5_to_L6_reg;
525 gen_layer_connection_L7_to_L8_reg: if i_layer=7 generate
532 end generate gen_layer_connection_L7_to_L8_reg;
534 gen_layer_connection_L9_to_L10_reg: if i_layer=9 generate
541 end generate gen_layer_connection_L9_to_L10_reg;
543 gen_layer_connection_L11_to_L12_reg: if i_layer=11 generate
550 end generate gen_layer_connection_L11_to_L12_reg;
552 gen_layer_connection_L13_to_L14_reg: if i_layer=13 generate
559 end generate gen_layer_connection_L13_to_L14_reg;
561 gen_layer_connection_L15_to_L16_reg: if i_layer=15 generate
568 end generate gen_layer_connection_L15_to_L16_reg;
570 gen_layer_connection_L17_to_L18_reg: if i_layer=17 generate
577 end generate gen_layer_connection_L17_to_L18_reg;
579 gen_layer_connection_L19_to_L20_reg: if i_layer=19 generate
586 end generate gen_layer_connection_L19_to_L20_reg;
589 end generate gen_layers;
594 --TOBs_output<=TobLayerOut(20);
601 output_copy_gen: for i_copy in 0 to num_copies-1 generate
609 end generate output_copy_gen;
612 overflow_copy_gen: for i_copy in 0 to num_copies-1 generate
623 end generate overflow_copy_gen;
648 ia_vme => ADDR_REG_RO_TOTAL_OVERFLOW_COUNTER ,
661 ia_vme => ADDR_REG_RO_TOTAL_OVERFLOW_COUNTER+2 ,
675 --chipscope_icon_u2_c1_inst: entity work.chipscope_icon_u2_c1
677 -- CONTROL0 => CONTROL);
680 --chipscope_ila_jet_decoder_inst: entity work.chipscope_ila_jet_decoder
682 -- CONTROL => CONTROL,
684 -- DATA => DATA_ila_jet_decoder,
685 -- TRIG0 => TRIG0_ila_jet_decoder);
688 --TRIG0_ila_jet_decoder(0)<=overflow_local_any;
691 --DATA_ila_jet_decoder(95 downto 0)<=datai(0);
692 --DATA_ila_jet_decoder(191 downto 96)<=datai(1);
694 --DATA_ila_jet_decoder(195 downto 192)<=ntobs(0);
695 --DATA_ila_jet_decoder(199 downto 196)<=ntobs(1);
697 --DATA_ila_jet_decoder(215 downto 200)<=overflow_local;
699 --DATA_ila_jet_decoder(216)<=overflow_local_any;
701 --DATA_ila_jet_decoder(217)<='0';
703 --cs_tob_gen: for i_tob in 0 to 15 generate
704 -- DATA_ila_jet_decoder(218 + (10*(i_tob+1)-1) downto 218 + 10*i_tob)<=TOBs_output(i_tob).Et2;
705 --end generate cs_tob_gen;
708 --paste from auto code generation
1872 -- number of layers: 21
compExch compexch_layer_16_to_17_sites_27_43compexch_layer_16_to_17_sites_27_43
compExch compexch_layer_04_to_05_sites_10_12compexch_layer_04_to_05_sites_10_12
compExch compexch_layer_08_to_09_sites_19_21compexch_layer_08_to_09_sites_19_21
compExch compexch_layer_08_to_09_sites_50_52compexch_layer_08_to_09_sites_50_52
compExch compexch_layer_13_to_14_sites_07_09compexch_layer_13_to_14_sites_07_09
compExch compexch_layer_03_to_04_sites_18_22compexch_layer_03_to_04_sites_18_22
compExch compexch_layer_12_to_13_sites_15_19compexch_layer_12_to_13_sites_15_19
compExch compexch_layer_17_to_18_sites_11_19compexch_layer_17_to_18_sites_11_19
compExch compexch_layer_16_to_17_sites_26_42compexch_layer_16_to_17_sites_26_42
unsigned (32 downto 0) total_overflow_counter
compExch compexch_layer_15_to_16_sites_13_45compexch_layer_15_to_16_sites_13_45
compExch compexch_layer_01_to_02_sites_08_10compexch_layer_01_to_02_sites_08_10
compExch compexch_layer_06_to_07_sites_16_24compexch_layer_06_to_07_sites_16_24
compExch compexch_layer_01_to_02_sites_17_19compexch_layer_01_to_02_sites_17_19
compExch compexch_layer_20_to_21_sites_43_44compexch_layer_20_to_21_sites_43_44
compExch compexch_layer_01_to_02_sites_37_39compexch_layer_01_to_02_sites_37_39
compExch compexch_layer_06_to_07_sites_02_10compexch_layer_06_to_07_sites_02_10
compExch compexch_layer_06_to_07_sites_53_61compexch_layer_06_to_07_sites_53_61
compExch compexch_layer_03_to_04_sites_02_06compexch_layer_03_to_04_sites_02_06
compExch compexch_layer_20_to_21_sites_49_50compexch_layer_20_to_21_sites_49_50
compExch compexch_layer_20_to_21_sites_29_30compexch_layer_20_to_21_sites_29_30
compExch compexch_layer_03_to_04_sites_56_60compexch_layer_03_to_04_sites_56_60
compExch compexch_layer_20_to_21_sites_25_26compexch_layer_20_to_21_sites_25_26
compExch compexch_layer_18_to_19_sites_21_25compexch_layer_18_to_19_sites_21_25
compExch compexch_layer_03_to_04_sites_25_29compexch_layer_03_to_04_sites_25_29
compExch compexch_layer_07_to_08_sites_04_08compexch_layer_07_to_08_sites_04_08
compExch compexch_layer_19_to_20_sites_35_37compexch_layer_19_to_20_sites_35_37
compExch compexch_layer_08_to_09_sites_59_61compexch_layer_08_to_09_sites_59_61
compExch compexch_layer_00_to_01_sites_04_05compexch_layer_00_to_01_sites_04_05
compExch compexch_layer_18_to_19_sites_28_32compexch_layer_18_to_19_sites_28_32
thresholds_widthinteger :=10
compExch compexch_layer_06_to_07_sites_51_59compexch_layer_06_to_07_sites_51_59
compExch compexch_layer_10_to_11_sites_35_51compexch_layer_10_to_11_sites_35_51
compExch compexch_layer_01_to_02_sites_09_11compexch_layer_01_to_02_sites_09_11
compExch compexch_layer_14_to_15_sites_09_10compexch_layer_14_to_15_sites_09_10
compExch compexch_layer_13_to_14_sites_50_52compexch_layer_13_to_14_sites_50_52
compExch compexch_layer_19_to_20_sites_46_48compexch_layer_19_to_20_sites_46_48
compExch compexch_layer_00_to_01_sites_12_13compexch_layer_00_to_01_sites_12_13
compExch compexch_layer_16_to_17_sites_17_33compexch_layer_16_to_17_sites_17_33
compExch compexch_layer_18_to_19_sites_47_51compexch_layer_18_to_19_sites_47_51
std_logic_vector (max_cps - 1 downto 0) overflow_local
compExch compexch_layer_14_to_15_sites_35_36compexch_layer_14_to_15_sites_35_36
compExch compexch_layer_14_to_15_sites_55_56compexch_layer_14_to_15_sites_55_56
compExch compexch_layer_07_to_08_sites_39_43compexch_layer_07_to_08_sites_39_43
compExch compexch_layer_01_to_02_sites_57_59compexch_layer_01_to_02_sites_57_59
compExch compexch_layer_17_to_18_sites_08_16compexch_layer_17_to_18_sites_08_16
out Tobs_to_TOPOcopy_arr_TOB
compExch compexch_layer_06_to_07_sites_52_60compexch_layer_06_to_07_sites_52_60
compExch compexch_layer_12_to_13_sites_52_56compexch_layer_12_to_13_sites_52_56
compExch compexch_layer_10_to_11_sites_43_59compexch_layer_10_to_11_sites_43_59
compExch compexch_layer_01_to_02_sites_01_03compexch_layer_01_to_02_sites_01_03
compExch compexch_layer_08_to_09_sites_10_12compexch_layer_08_to_09_sites_10_12
compExch compexch_layer_09_to_10_sites_19_20compexch_layer_09_to_10_sites_19_20
in datai_first_halfarr_2Xword (max_jems - 1 downto 0)
compExch compexch_layer_19_to_20_sites_51_53compexch_layer_19_to_20_sites_51_53
compExch compexch_layer_14_to_15_sites_29_30compexch_layer_14_to_15_sites_29_30
compExch compexch_layer_01_to_02_sites_24_26compexch_layer_01_to_02_sites_24_26
compExch compexch_layer_00_to_01_sites_46_47compexch_layer_00_to_01_sites_46_47
out data_vmestd_logic_vector (15 downto 0)
compExch compexch_layer_06_to_07_sites_20_28compexch_layer_06_to_07_sites_20_28
compExch compexch_layer_02_to_03_sites_21_22compexch_layer_02_to_03_sites_21_22
compExch compexch_layer_14_to_15_sites_33_34compexch_layer_14_to_15_sites_33_34
compExch compexch_layer_05_to_06_sites_11_12compexch_layer_05_to_06_sites_11_12
compExch compexch_layer_03_to_04_sites_33_37compexch_layer_03_to_04_sites_33_37
compExch compexch_layer_11_to_12_sites_46_54compexch_layer_11_to_12_sites_46_54
in counter_inhibitstd_logic
compExch compexch_layer_00_to_01_sites_02_03compexch_layer_00_to_01_sites_02_03
compExch compexch_layer_12_to_13_sites_46_50compexch_layer_12_to_13_sites_46_50
compExch compexch_layer_18_to_19_sites_20_24compexch_layer_18_to_19_sites_20_24
compExch compexch_layer_00_to_01_sites_06_07compexch_layer_00_to_01_sites_06_07
multiply single_multiplysingle_multiply
compExch compexch_layer_01_to_02_sites_44_46compexch_layer_01_to_02_sites_44_46
compExch compexch_layer_18_to_19_sites_07_11compexch_layer_18_to_19_sites_07_11
compExch compexch_layer_03_to_04_sites_41_45compexch_layer_03_to_04_sites_41_45
compExch compexch_layer_10_to_11_sites_39_55compexch_layer_10_to_11_sites_39_55
compExch compexch_layer_18_to_19_sites_14_18compexch_layer_18_to_19_sites_14_18
compExch compexch_layer_10_to_11_sites_40_56compexch_layer_10_to_11_sites_40_56
compExch compexch_layer_05_to_06_sites_49_50compexch_layer_05_to_06_sites_49_50
compExch compexch_layer_13_to_14_sites_34_36compexch_layer_13_to_14_sites_34_36
compExch compexch_layer_13_to_14_sites_26_28compexch_layer_13_to_14_sites_26_28
compExch compexch_layer_18_to_19_sites_23_27compexch_layer_18_to_19_sites_23_27
compExch compexch_layer_18_to_19_sites_06_10compexch_layer_18_to_19_sites_06_10
std_logic_vector (11 downto 0) BCID_rr
compExch compexch_layer_04_to_05_sites_42_44compexch_layer_04_to_05_sites_42_44
compExch compexch_layer_09_to_10_sites_41_42compexch_layer_09_to_10_sites_41_42
compExch compexch_layer_06_to_07_sites_19_27compexch_layer_06_to_07_sites_19_27
compExch compexch_layer_00_to_01_sites_28_29compexch_layer_00_to_01_sites_28_29
compExch compexch_layer_00_to_01_sites_24_25compexch_layer_00_to_01_sites_24_25
compExch compexch_layer_06_to_07_sites_06_14compexch_layer_06_to_07_sites_06_14
compExch compexch_layer_16_to_17_sites_29_45compexch_layer_16_to_17_sites_29_45
compExch compexch_layer_14_to_15_sites_41_42compexch_layer_14_to_15_sites_41_42
compExch compexch_layer_00_to_01_sites_60_61compexch_layer_00_to_01_sites_60_61
compExch compexch_layer_10_to_11_sites_09_25compexch_layer_10_to_11_sites_09_25
compExch compexch_layer_11_to_12_sites_14_22compexch_layer_11_to_12_sites_14_22
compExch compexch_layer_20_to_21_sites_47_48compexch_layer_20_to_21_sites_47_48
compExch compexch_layer_09_to_10_sites_17_18compexch_layer_09_to_10_sites_17_18
compExch compexch_layer_12_to_13_sites_44_48compexch_layer_12_to_13_sites_44_48
compExch compexch_layer_10_to_11_sites_15_31compexch_layer_10_to_11_sites_15_31
compExch compexch_layer_08_to_09_sites_06_08compexch_layer_08_to_09_sites_06_08
compExch compexch_layer_19_to_20_sites_23_25compexch_layer_19_to_20_sites_23_25
compExch compexch_layer_18_to_19_sites_30_34compexch_layer_18_to_19_sites_30_34
arr_ctr_33bit (max_cps - 1 downto 0) local_backplane_overflow_counter
compExch compexch_layer_08_to_09_sites_26_28compexch_layer_08_to_09_sites_26_28
compExch compexch_layer_04_to_05_sites_51_53compexch_layer_04_to_05_sites_51_53
compExch compexch_layer_03_to_04_sites_49_53compexch_layer_03_to_04_sites_49_53
compExch compexch_layer_05_to_06_sites_03_04compexch_layer_05_to_06_sites_03_04
compExch compexch_layer_20_to_21_sites_27_28compexch_layer_20_to_21_sites_27_28
compExch compexch_layer_03_to_04_sites_01_05compexch_layer_03_to_04_sites_01_05
compExch compexch_layer_01_to_02_sites_21_23compexch_layer_01_to_02_sites_21_23
compExch compexch_layer_15_to_16_sites_18_50compexch_layer_15_to_16_sites_18_50
compExch compexch_layer_01_to_02_sites_56_58compexch_layer_01_to_02_sites_56_58
unsigned (32 downto 0) global_backplane_overflow_counter
compExch compexch_layer_18_to_19_sites_15_19compexch_layer_18_to_19_sites_15_19
compExch compexch_layer_03_to_04_sites_10_14compexch_layer_03_to_04_sites_10_14
compExch compexch_layer_10_to_11_sites_45_61compexch_layer_10_to_11_sites_45_61
compExch compexch_layer_19_to_20_sites_50_52compexch_layer_19_to_20_sites_50_52
std_logic overflow_local_any_reg
compExch compexch_layer_11_to_12_sites_08_16compexch_layer_11_to_12_sites_08_16
compExch compexch_layer_18_to_19_sites_13_17compexch_layer_18_to_19_sites_13_17
compExch compexch_layer_09_to_10_sites_09_10compexch_layer_09_to_10_sites_09_10
compExch compexch_layer_10_to_11_sites_02_18compexch_layer_10_to_11_sites_02_18
compExch compexch_layer_10_to_11_sites_38_54compexch_layer_10_to_11_sites_38_54
compExch compexch_layer_09_to_10_sites_59_60compexch_layer_09_to_10_sites_59_60
compExch compexch_layer_04_to_05_sites_26_28compexch_layer_04_to_05_sites_26_28
compExch compexch_layer_15_to_16_sites_03_35compexch_layer_15_to_16_sites_03_35
compExch compexch_layer_18_to_19_sites_55_59compexch_layer_18_to_19_sites_55_59
compExch compexch_layer_17_to_18_sites_15_23compexch_layer_17_to_18_sites_15_23
compExch compexch_layer_12_to_13_sites_23_27compexch_layer_12_to_13_sites_23_27
compExch compexch_layer_06_to_07_sites_04_12compexch_layer_06_to_07_sites_04_12
compExch compexch_layer_14_to_15_sites_61_62compexch_layer_14_to_15_sites_61_62
compExch compexch_layer_19_to_20_sites_18_20compexch_layer_19_to_20_sites_18_20
compExch compexch_layer_14_to_15_sites_27_28compexch_layer_14_to_15_sites_27_28
compExch compexch_layer_09_to_10_sites_53_54compexch_layer_09_to_10_sites_53_54
compExch compexch_layer_06_to_07_sites_37_45compexch_layer_06_to_07_sites_37_45
compExch compexch_layer_06_to_07_sites_05_13compexch_layer_06_to_07_sites_05_13
compExch compexch_layer_09_to_10_sites_21_22compexch_layer_09_to_10_sites_21_22
compExch compexch_layer_07_to_08_sites_05_09compexch_layer_07_to_08_sites_05_09
compExch compexch_layer_18_to_19_sites_05_09compexch_layer_18_to_19_sites_05_09
compExch compexch_layer_08_to_09_sites_03_05compexch_layer_08_to_09_sites_03_05
compExch compexch_layer_14_to_15_sites_37_38compexch_layer_14_to_15_sites_37_38
compExch compexch_layer_03_to_04_sites_03_07compexch_layer_03_to_04_sites_03_07
compExch compexch_layer_17_to_18_sites_13_21compexch_layer_17_to_18_sites_13_21
compExch compexch_layer_14_to_15_sites_05_06compexch_layer_14_to_15_sites_05_06
compExch compexch_layer_02_to_03_sites_25_26compexch_layer_02_to_03_sites_25_26
compExch compexch_layer_19_to_20_sites_10_12compexch_layer_19_to_20_sites_10_12
compExch compexch_layer_09_to_10_sites_37_38compexch_layer_09_to_10_sites_37_38
compExch compexch_layer_13_to_14_sites_35_37compexch_layer_13_to_14_sites_35_37
compExch compexch_layer_18_to_19_sites_37_41compexch_layer_18_to_19_sites_37_41
compExch compexch_layer_08_to_09_sites_38_40compexch_layer_08_to_09_sites_38_40
compExch compexch_layer_19_to_20_sites_58_60compexch_layer_19_to_20_sites_58_60
compExch compexch_layer_17_to_18_sites_44_52compexch_layer_17_to_18_sites_44_52
compExch compexch_layer_07_to_08_sites_36_40compexch_layer_07_to_08_sites_36_40
compExch compexch_layer_13_to_14_sites_46_48compexch_layer_13_to_14_sites_46_48
compExch compexch_layer_06_to_07_sites_34_42compexch_layer_06_to_07_sites_34_42
compExch compexch_layer_05_to_06_sites_51_52compexch_layer_05_to_06_sites_51_52
compExch compexch_layer_13_to_14_sites_15_17compexch_layer_13_to_14_sites_15_17
compExch compexch_layer_15_to_16_sites_27_59compexch_layer_15_to_16_sites_27_59
arr_16 ((4 * max_cps) + 4 + (max_cps * num_presence_bits_pcp) * 2 - 1 downto 0) data_vme_out_local)
compExch compexch_layer_11_to_12_sites_11_19compexch_layer_11_to_12_sites_11_19
compExch compexch_layer_07_to_08_sites_22_26compexch_layer_07_to_08_sites_22_26
compExch compexch_layer_11_to_12_sites_12_20compexch_layer_11_to_12_sites_12_20
compExch compexch_layer_18_to_19_sites_46_50compexch_layer_18_to_19_sites_46_50
compExch compexch_layer_02_to_03_sites_05_06compexch_layer_02_to_03_sites_05_06
compExch compexch_layer_20_to_21_sites_51_52compexch_layer_20_to_21_sites_51_52
compExch compexch_layer_05_to_06_sites_17_18compexch_layer_05_to_06_sites_17_18
compExch compexch_layer_01_to_02_sites_60_62compexch_layer_01_to_02_sites_60_62
in data_vme_from_belowarr_16
--! inputs from local registers and from
compExch compexch_layer_06_to_07_sites_17_25compexch_layer_06_to_07_sites_17_25
compExch compexch_layer_04_to_05_sites_19_21compexch_layer_04_to_05_sites_19_21
compExch compexch_layer_12_to_13_sites_39_43compexch_layer_12_to_13_sites_39_43
compExch compexch_layer_11_to_12_sites_40_48compexch_layer_11_to_12_sites_40_48
compExch compexch_layer_05_to_06_sites_61_62compexch_layer_05_to_06_sites_61_62
compExch compexch_layer_14_to_15_sites_39_40compexch_layer_14_to_15_sites_39_40
compExch compexch_layer_06_to_07_sites_50_58compexch_layer_06_to_07_sites_50_58
compExch compexch_layer_19_to_20_sites_27_29compexch_layer_19_to_20_sites_27_29
compExch compexch_layer_06_to_07_sites_33_41compexch_layer_06_to_07_sites_33_41
compExch compexch_layer_14_to_15_sites_45_46compexch_layer_14_to_15_sites_45_46
compExch compexch_layer_15_to_16_sites_30_62compexch_layer_15_to_16_sites_30_62
integer :=i * num_presence_bits_pjem + i_pres_bit i_counter
compExch compexch_layer_13_to_14_sites_55_57compexch_layer_13_to_14_sites_55_57
compExch compexch_layer_18_to_19_sites_39_43compexch_layer_18_to_19_sites_39_43
compExch compexch_layer_12_to_13_sites_45_49compexch_layer_12_to_13_sites_45_49
compExch compexch_layer_05_to_06_sites_01_02compexch_layer_05_to_06_sites_01_02
compExch compexch_layer_17_to_18_sites_40_48compexch_layer_17_to_18_sites_40_48
compExch compexch_layer_06_to_07_sites_01_09compexch_layer_06_to_07_sites_01_09
compExch compexch_layer_05_to_06_sites_45_46compexch_layer_05_to_06_sites_45_46
std_logic_vector (11 downto 0) BCID_r
compExch compexch_layer_08_to_09_sites_22_24compexch_layer_08_to_09_sites_22_24
compExch compexch_layer_15_to_16_sites_19_51compexch_layer_15_to_16_sites_19_51
compExch compexch_layer_03_to_04_sites_48_52compexch_layer_03_to_04_sites_48_52
arr_ctr_32bit (max_cps * 16 - 1 downto 0) presence_bit_counter)
compExch compexch_layer_20_to_21_sites_39_40compexch_layer_20_to_21_sites_39_40
compExch compexch_layer_15_to_16_sites_21_53compexch_layer_15_to_16_sites_21_53
compExch compexch_layer_09_to_10_sites_39_40compexch_layer_09_to_10_sites_39_40
compExch compexch_layer_17_to_18_sites_41_49compexch_layer_17_to_18_sites_41_49
compExch compexch_layer_10_to_11_sites_34_50compexch_layer_10_to_11_sites_34_50
compExch compexch_layer_03_to_04_sites_43_47compexch_layer_03_to_04_sites_43_47
compExch compexch_layer_18_to_19_sites_12_16compexch_layer_18_to_19_sites_12_16
compExch compexch_layer_14_to_15_sites_47_48compexch_layer_14_to_15_sites_47_48
compExch compexch_layer_07_to_08_sites_38_42compexch_layer_07_to_08_sites_38_42
compExch compexch_layer_17_to_18_sites_43_51compexch_layer_17_to_18_sites_43_51
compExch compexch_layer_03_to_04_sites_58_62compexch_layer_03_to_04_sites_58_62
compExch compexch_layer_01_to_02_sites_36_38compexch_layer_01_to_02_sites_36_38
compExch compexch_layer_08_to_09_sites_02_04compexch_layer_08_to_09_sites_02_04
compExch compexch_layer_00_to_01_sites_26_27compexch_layer_00_to_01_sites_26_27
compExch compexch_layer_17_to_18_sites_27_35compexch_layer_17_to_18_sites_27_35
compExch compexch_layer_02_to_03_sites_57_58compexch_layer_02_to_03_sites_57_58
compExch compexch_layer_05_to_06_sites_35_36compexch_layer_05_to_06_sites_35_36
compExch compexch_layer_00_to_01_sites_44_45compexch_layer_00_to_01_sites_44_45
compExch compexch_layer_19_to_20_sites_26_28compexch_layer_19_to_20_sites_26_28
compExch compexch_layer_00_to_01_sites_34_35compexch_layer_00_to_01_sites_34_35
compExch compexch_layer_07_to_08_sites_53_57compexch_layer_07_to_08_sites_53_57
compExch compexch_layer_14_to_15_sites_19_20compexch_layer_14_to_15_sites_19_20
compExch compexch_layer_15_to_16_sites_24_56compexch_layer_15_to_16_sites_24_56
compExch compexch_layer_10_to_11_sites_37_53compexch_layer_10_to_11_sites_37_53
out BCID_delayedstd_logic_vector (11 downto 0)
compExch compexch_layer_13_to_14_sites_11_13compexch_layer_13_to_14_sites_11_13
compExch compexch_layer_12_to_13_sites_14_18compexch_layer_12_to_13_sites_14_18
compExch compexch_layer_09_to_10_sites_01_02compexch_layer_09_to_10_sites_01_02
compExch compexch_layer_06_to_07_sites_39_47compexch_layer_06_to_07_sites_39_47
compExch compexch_layer_10_to_11_sites_46_62compexch_layer_10_to_11_sites_46_62
compExch compexch_layer_07_to_08_sites_21_25compexch_layer_07_to_08_sites_21_25
compExch compexch_layer_19_to_20_sites_47_49compexch_layer_19_to_20_sites_47_49
compExch compexch_layer_07_to_08_sites_20_24compexch_layer_07_to_08_sites_20_24
compExch compexch_layer_09_to_10_sites_03_04compexch_layer_09_to_10_sites_03_04
compExch compexch_layer_12_to_13_sites_47_51compexch_layer_12_to_13_sites_47_51
std_logic counter_reset_r_local
compExch compexch_layer_10_to_11_sites_14_30compexch_layer_10_to_11_sites_14_30
arr_ctr_33bit (max_cps - 1 downto 0) ntobs_counter
compExch compexch_layer_14_to_15_sites_13_14compexch_layer_14_to_15_sites_13_14
compExch compexch_layer_12_to_13_sites_06_10compexch_layer_12_to_13_sites_06_10
compExch compexch_layer_05_to_06_sites_25_26compexch_layer_05_to_06_sites_25_26
compExch compexch_layer_13_to_14_sites_14_16compexch_layer_13_to_14_sites_14_16
compExch compexch_layer_16_to_17_sites_25_41compexch_layer_16_to_17_sites_25_41
compExch compexch_layer_19_to_20_sites_59_61compexch_layer_19_to_20_sites_59_61
compExch compexch_layer_03_to_04_sites_51_55compexch_layer_03_to_04_sites_51_55
compExch compexch_layer_11_to_12_sites_10_18compexch_layer_11_to_12_sites_10_18
compExch compexch_layer_17_to_18_sites_09_17compexch_layer_17_to_18_sites_09_17
compExch compexch_layer_09_to_10_sites_35_36compexch_layer_09_to_10_sites_35_36
compExch compexch_layer_04_to_05_sites_18_20compexch_layer_04_to_05_sites_18_20
compExch compexch_layer_17_to_18_sites_29_37compexch_layer_17_to_18_sites_29_37
compExch compexch_layer_00_to_01_sites_36_37compexch_layer_00_to_01_sites_36_37
compExch compexch_layer_17_to_18_sites_10_18compexch_layer_17_to_18_sites_10_18
compExch compexch_layer_05_to_06_sites_59_60compexch_layer_05_to_06_sites_59_60
compExch compexch_layer_20_to_21_sites_17_18compexch_layer_20_to_21_sites_17_18
std_logic or_all_ov_local
compExch compexch_layer_17_to_18_sites_30_38compexch_layer_17_to_18_sites_30_38
compExch compexch_layer_12_to_13_sites_37_41compexch_layer_12_to_13_sites_37_41
thresholds_numinteger :=25
compExch compexch_layer_08_to_09_sites_27_29compexch_layer_08_to_09_sites_27_29
compExch compexch_layer_17_to_18_sites_12_20compexch_layer_17_to_18_sites_12_20
compExch compexch_layer_16_to_17_sites_18_34compexch_layer_16_to_17_sites_18_34
compExch compexch_layer_06_to_07_sites_49_57compexch_layer_06_to_07_sites_49_57
compExch compexch_layer_20_to_21_sites_59_60compexch_layer_20_to_21_sites_59_60
compExch compexch_layer_01_to_02_sites_00_02compexch_layer_01_to_02_sites_00_02
compExch compexch_layer_14_to_15_sites_17_18compexch_layer_14_to_15_sites_17_18
compExch compexch_layer_19_to_20_sites_15_17compexch_layer_19_to_20_sites_15_17
compExch compexch_layer_19_to_20_sites_19_21compexch_layer_19_to_20_sites_19_21
compExch compexch_layer_05_to_06_sites_05_06compexch_layer_05_to_06_sites_05_06
compExch compexch_layer_13_to_14_sites_22_24compexch_layer_13_to_14_sites_22_24
compExch compexch_layer_09_to_10_sites_07_08compexch_layer_09_to_10_sites_07_08
compExch compexch_layer_13_to_14_sites_47_49compexch_layer_13_to_14_sites_47_49
compExch compexch_layer_17_to_18_sites_26_34compexch_layer_17_to_18_sites_26_34
compExch compexch_layer_01_to_02_sites_04_06compexch_layer_01_to_02_sites_04_06
compExch compexch_layer_00_to_01_sites_62_63compexch_layer_00_to_01_sites_62_63
compExch compexch_layer_16_to_17_sites_16_32compexch_layer_16_to_17_sites_16_32
compExch compexch_layer_01_to_02_sites_40_42compexch_layer_01_to_02_sites_40_42
compExch compexch_layer_11_to_12_sites_42_50compexch_layer_11_to_12_sites_42_50
compExch compexch_layer_00_to_01_sites_54_55compexch_layer_00_to_01_sites_54_55
compExch compexch_layer_09_to_10_sites_29_30compexch_layer_09_to_10_sites_29_30
in clk40MHz_m90ostd_logic
compExch compexch_layer_18_to_19_sites_53_57compexch_layer_18_to_19_sites_53_57
compExch compexch_layer_15_to_16_sites_31_63compexch_layer_15_to_16_sites_31_63
compExch compexch_layer_12_to_13_sites_07_11compexch_layer_12_to_13_sites_07_11
compExch compexch_layer_10_to_11_sites_12_28compexch_layer_10_to_11_sites_12_28
compExch compexch_layer_09_to_10_sites_57_58compexch_layer_09_to_10_sites_57_58
compExch compexch_layer_08_to_09_sites_58_60compexch_layer_08_to_09_sites_58_60
compExch compexch_layer_05_to_06_sites_13_14compexch_layer_05_to_06_sites_13_14
compExch compexch_layer_20_to_21_sites_57_58compexch_layer_20_to_21_sites_57_58
compExch compexch_layer_05_to_06_sites_53_54compexch_layer_05_to_06_sites_53_54
compExch compexch_layer_04_to_05_sites_59_61compexch_layer_04_to_05_sites_59_61
compExch compexch_layer_17_to_18_sites_24_32compexch_layer_17_to_18_sites_24_32
compExch compexch_layer_09_to_10_sites_61_62compexch_layer_09_to_10_sites_61_62
compExch compexch_layer_03_to_04_sites_24_28compexch_layer_03_to_04_sites_24_28
compExch compexch_layer_00_to_01_sites_40_41compexch_layer_00_to_01_sites_40_41
compExch compexch_layer_14_to_15_sites_53_54compexch_layer_14_to_15_sites_53_54
compExch compexch_layer_12_to_13_sites_13_17compexch_layer_12_to_13_sites_13_17
compExch compexch_layer_02_to_03_sites_45_46compexch_layer_02_to_03_sites_45_46
compExch compexch_layer_14_to_15_sites_15_16compexch_layer_14_to_15_sites_15_16
compExch compexch_layer_06_to_07_sites_21_29compexch_layer_06_to_07_sites_21_29
compExch compexch_layer_19_to_20_sites_11_13compexch_layer_19_to_20_sites_11_13
compExch compexch_layer_19_to_20_sites_02_04compexch_layer_19_to_20_sites_02_04
compExch compexch_layer_04_to_05_sites_35_37compexch_layer_04_to_05_sites_35_37
compExch compexch_layer_19_to_20_sites_03_05compexch_layer_19_to_20_sites_03_05
compExch compexch_layer_20_to_21_sites_11_12compexch_layer_20_to_21_sites_11_12
compExch compexch_layer_06_to_07_sites_23_31compexch_layer_06_to_07_sites_23_31
compExch compexch_layer_10_to_11_sites_47_63compexch_layer_10_to_11_sites_47_63
compExch compexch_layer_17_to_18_sites_14_22compexch_layer_17_to_18_sites_14_22
compExch compexch_layer_03_to_04_sites_32_36compexch_layer_03_to_04_sites_32_36
compExch compexch_layer_20_to_21_sites_45_46compexch_layer_20_to_21_sites_45_46
compExch compexch_layer_13_to_14_sites_03_05compexch_layer_13_to_14_sites_03_05
compExch compexch_layer_11_to_12_sites_09_17compexch_layer_11_to_12_sites_09_17
compExch compexch_layer_14_to_15_sites_21_22compexch_layer_14_to_15_sites_21_22
compExch compexch_layer_18_to_19_sites_31_35compexch_layer_18_to_19_sites_31_35
compExch compexch_layer_19_to_20_sites_30_32compexch_layer_19_to_20_sites_30_32
compExch compexch_layer_03_to_04_sites_57_61compexch_layer_03_to_04_sites_57_61
type_TOB_sort_layers TobLayerOut
compExch compexch_layer_10_to_11_sites_05_21compexch_layer_10_to_11_sites_05_21
compExch compexch_layer_03_to_04_sites_17_21compexch_layer_03_to_04_sites_17_21
compExch compexch_layer_05_to_06_sites_37_38compexch_layer_05_to_06_sites_37_38
compExch compexch_layer_13_to_14_sites_58_60compexch_layer_13_to_14_sites_58_60
compExch compexch_layer_00_to_01_sites_58_59compexch_layer_00_to_01_sites_58_59
compExch compexch_layer_14_to_15_sites_57_58compexch_layer_14_to_15_sites_57_58
compExch compexch_layer_16_to_17_sites_21_37compexch_layer_16_to_17_sites_21_37
out data_vme_upstd_logic_vector (15 downto 0)
--! connect this to
compExch compexch_layer_18_to_19_sites_38_42compexch_layer_18_to_19_sites_38_42
compExch compexch_layer_01_to_02_sites_25_27compexch_layer_01_to_02_sites_25_27
compExch compexch_layer_08_to_09_sites_35_37compexch_layer_08_to_09_sites_35_37
compExch compexch_layer_15_to_16_sites_26_58compexch_layer_15_to_16_sites_26_58
compExch compexch_layer_11_to_12_sites_13_21compexch_layer_11_to_12_sites_13_21
compExch compexch_layer_12_to_13_sites_12_16compexch_layer_12_to_13_sites_12_16
compExch compexch_layer_08_to_09_sites_55_57compexch_layer_08_to_09_sites_55_57
compExch compexch_layer_12_to_13_sites_05_09compexch_layer_12_to_13_sites_05_09
compExch compexch_layer_17_to_18_sites_28_36compexch_layer_17_to_18_sites_28_36
std_logic_vector ((4 * max_cps) + 4 + (max_cps * num_presence_bits_pcp) * 2 - 1 downto 0) bus_drive_local)
compExch compexch_layer_20_to_21_sites_37_38compexch_layer_20_to_21_sites_37_38
compExch compexch_layer_19_to_20_sites_39_41compexch_layer_19_to_20_sites_39_41
compExch compexch_layer_18_to_19_sites_36_40compexch_layer_18_to_19_sites_36_40
compExch compexch_layer_14_to_15_sites_03_04compexch_layer_14_to_15_sites_03_04
compExch compexch_layer_13_to_14_sites_51_53compexch_layer_13_to_14_sites_51_53
compExch compexch_layer_19_to_20_sites_14_16compexch_layer_19_to_20_sites_14_16
compExch compexch_layer_08_to_09_sites_42_44compexch_layer_08_to_09_sites_42_44
compExch compexch_layer_14_to_15_sites_43_44compexch_layer_14_to_15_sites_43_44
compExch compexch_layer_15_to_16_sites_16_48compexch_layer_15_to_16_sites_16_48
in addr_vmestd_logic_vector (15 downto 0)
compExch compexch_layer_02_to_03_sites_53_54compexch_layer_02_to_03_sites_53_54
compExch compexch_layer_01_to_02_sites_49_51compexch_layer_01_to_02_sites_49_51
compExch compexch_layer_12_to_13_sites_04_08compexch_layer_12_to_13_sites_04_08
compExch compexch_layer_20_to_21_sites_01_02compexch_layer_20_to_21_sites_01_02
compExch compexch_layer_12_to_13_sites_54_58compexch_layer_12_to_13_sites_54_58
compExch compexch_layer_07_to_08_sites_23_27compexch_layer_07_to_08_sites_23_27
std_logic_vector (32 downto 0) all_one_thirtythree
compExch compexch_layer_15_to_16_sites_25_57compexch_layer_15_to_16_sites_25_57
out data_vme_outstd_logic_vector (15 downto 0)
compExch compexch_layer_18_to_19_sites_52_56compexch_layer_18_to_19_sites_52_56
compExch compexch_layer_20_to_21_sites_55_56compexch_layer_20_to_21_sites_55_56
compExch compexch_layer_10_to_11_sites_10_26compexch_layer_10_to_11_sites_10_26
compExch compexch_layer_20_to_21_sites_03_04compexch_layer_20_to_21_sites_03_04
compExch compexch_layer_15_to_16_sites_15_47compexch_layer_15_to_16_sites_15_47
in dataiarr_4Xword (max_jems - 1 downto 0)
compExch compexch_layer_01_to_02_sites_20_22compexch_layer_01_to_02_sites_20_22
type_TOB_sort_layers TobLayerIn
compExch compexch_layer_13_to_14_sites_18_20compexch_layer_13_to_14_sites_18_20
compExch compexch_layer_06_to_07_sites_55_63compexch_layer_06_to_07_sites_55_63
compExch compexch_layer_12_to_13_sites_20_24compexch_layer_12_to_13_sites_20_24
compExch compexch_layer_13_to_14_sites_10_12compexch_layer_13_to_14_sites_10_12
compExch compexch_layer_19_to_20_sites_42_44compexch_layer_19_to_20_sites_42_44
in BCID_instd_logic_vector (11 downto 0)
compExch compexch_layer_09_to_10_sites_25_26compexch_layer_09_to_10_sites_25_26
compExch compexch_layer_01_to_02_sites_48_50compexch_layer_01_to_02_sites_48_50
std_logic overflow_local_any
out bus_drive_upstd_logic
or of all bus drive requests from below
compExch compexch_layer_06_to_07_sites_00_08compexch_layer_06_to_07_sites_00_08
compExch compexch_layer_10_to_11_sites_36_52compexch_layer_10_to_11_sites_36_52
compExch compexch_layer_15_to_16_sites_09_41compexch_layer_15_to_16_sites_09_41
compExch compexch_layer_07_to_08_sites_52_56compexch_layer_07_to_08_sites_52_56
compExch compexch_layer_15_to_16_sites_20_52compexch_layer_15_to_16_sites_20_52
compExch compexch_layer_06_to_07_sites_03_11compexch_layer_06_to_07_sites_03_11
compExch compexch_layer_02_to_03_sites_09_10compexch_layer_02_to_03_sites_09_10
compExch compexch_layer_04_to_05_sites_27_29compexch_layer_04_to_05_sites_27_29
compExch compexch_layer_09_to_10_sites_45_46compexch_layer_09_to_10_sites_45_46
compExch compexch_layer_06_to_07_sites_36_44compexch_layer_06_to_07_sites_36_44
compExch compexch_layer_10_to_11_sites_33_49compexch_layer_10_to_11_sites_33_49
compExch compexch_layer_17_to_18_sites_46_54compexch_layer_17_to_18_sites_46_54
compExch compexch_layer_03_to_04_sites_16_20compexch_layer_03_to_04_sites_16_20
compExch compexch_layer_15_to_16_sites_29_61compexch_layer_15_to_16_sites_29_61
compExch compexch_layer_08_to_09_sites_39_41compexch_layer_08_to_09_sites_39_41
compExch compexch_layer_04_to_05_sites_43_45compexch_layer_04_to_05_sites_43_45
compExch compexch_layer_10_to_11_sites_41_57compexch_layer_10_to_11_sites_41_57
compExch compexch_layer_09_to_10_sites_51_52compexch_layer_09_to_10_sites_51_52
compExch compexch_layer_10_to_11_sites_42_58compexch_layer_10_to_11_sites_42_58
compExch compexch_layer_02_to_03_sites_61_62compexch_layer_02_to_03_sites_61_62
compExch compexch_layer_18_to_19_sites_44_48compexch_layer_18_to_19_sites_44_48
compExch compexch_layer_14_to_15_sites_51_52compexch_layer_14_to_15_sites_51_52
compExch compexch_layer_05_to_06_sites_19_20compexch_layer_05_to_06_sites_19_20
compExch compexch_layer_13_to_14_sites_59_61compexch_layer_13_to_14_sites_59_61
compExch compexch_layer_01_to_02_sites_28_30compexch_layer_01_to_02_sites_28_30
compExch compexch_layer_20_to_21_sites_21_22compexch_layer_20_to_21_sites_21_22
compExch compexch_layer_00_to_01_sites_38_39compexch_layer_00_to_01_sites_38_39
compExch compexch_layer_08_to_09_sites_34_36compexch_layer_08_to_09_sites_34_36
compExch compexch_layer_10_to_11_sites_13_29compexch_layer_10_to_11_sites_13_29
compExch compexch_layer_06_to_07_sites_18_26compexch_layer_06_to_07_sites_18_26
compExch compexch_layer_14_to_15_sites_49_50compexch_layer_14_to_15_sites_49_50
compExch compexch_layer_15_to_16_sites_06_38compexch_layer_15_to_16_sites_06_38
compExch compexch_layer_18_to_19_sites_45_49compexch_layer_18_to_19_sites_45_49
compExch compexch_layer_17_to_18_sites_25_33compexch_layer_17_to_18_sites_25_33
compExch compexch_layer_00_to_01_sites_48_49compexch_layer_00_to_01_sites_48_49
compExch compexch_layer_19_to_20_sites_54_56compexch_layer_19_to_20_sites_54_56
compExch compexch_layer_20_to_21_sites_33_34compexch_layer_20_to_21_sites_33_34
compExch compexch_layer_13_to_14_sites_43_45compexch_layer_13_to_14_sites_43_45
compExch compexch_layer_20_to_21_sites_41_42compexch_layer_20_to_21_sites_41_42
compExch compexch_layer_03_to_04_sites_34_38compexch_layer_03_to_04_sites_34_38
compExch compexch_layer_01_to_02_sites_16_18compexch_layer_01_to_02_sites_16_18
compExch compexch_layer_14_to_15_sites_59_60compexch_layer_14_to_15_sites_59_60
compExch compexch_layer_15_to_16_sites_07_39compexch_layer_15_to_16_sites_07_39
compExch compexch_layer_03_to_04_sites_26_30compexch_layer_03_to_04_sites_26_30
compExch compexch_layer_10_to_11_sites_32_48compexch_layer_10_to_11_sites_32_48
compExch compexch_layer_20_to_21_sites_19_20compexch_layer_20_to_21_sites_19_20
compExch compexch_layer_00_to_01_sites_00_01compexch_layer_00_to_01_sites_00_01
compExch compexch_layer_11_to_12_sites_44_52compexch_layer_11_to_12_sites_44_52
compExch compexch_layer_20_to_21_sites_09_10compexch_layer_20_to_21_sites_09_10
compExch compexch_layer_09_to_10_sites_05_06compexch_layer_09_to_10_sites_05_06
compExch compexch_layer_15_to_16_sites_22_54compexch_layer_15_to_16_sites_22_54
compExch compexch_layer_04_to_05_sites_34_36compexch_layer_04_to_05_sites_34_36
compExch compexch_layer_00_to_01_sites_10_11compexch_layer_00_to_01_sites_10_11
compExch compexch_layer_16_to_17_sites_23_39compexch_layer_16_to_17_sites_23_39
compExch compexch_layer_20_to_21_sites_53_54compexch_layer_20_to_21_sites_53_54
compExch compexch_layer_15_to_16_sites_05_37compexch_layer_15_to_16_sites_05_37
compExch compexch_layer_05_to_06_sites_29_30compexch_layer_05_to_06_sites_29_30
compExch compexch_layer_19_to_20_sites_22_24compexch_layer_19_to_20_sites_22_24
compExch compexch_layer_20_to_21_sites_23_24compexch_layer_20_to_21_sites_23_24
compExch compexch_layer_16_to_17_sites_30_46compexch_layer_16_to_17_sites_30_46
compExch compexch_layer_00_to_01_sites_20_21compexch_layer_00_to_01_sites_20_21
compExch compexch_layer_00_to_01_sites_42_43compexch_layer_00_to_01_sites_42_43
compExch compexch_layer_19_to_20_sites_34_36compexch_layer_19_to_20_sites_34_36
compExch compexch_layer_15_to_16_sites_14_46compexch_layer_15_to_16_sites_14_46
std_logic counter_inhibit_r_local
compExch compexch_layer_14_to_15_sites_07_08compexch_layer_14_to_15_sites_07_08
compExch compexch_layer_03_to_04_sites_27_31compexch_layer_03_to_04_sites_27_31
compExch compexch_layer_01_to_02_sites_29_31compexch_layer_01_to_02_sites_29_31
compExch compexch_layer_20_to_21_sites_31_32compexch_layer_20_to_21_sites_31_32
compExch compexch_layer_11_to_12_sites_45_53compexch_layer_11_to_12_sites_45_53
compExch compexch_layer_05_to_06_sites_09_10compexch_layer_05_to_06_sites_09_10
compExch compexch_layer_10_to_11_sites_08_24compexch_layer_10_to_11_sites_08_24
in DATAstd_logic_vector (numbits - 1 downto 0)
compExch compexch_layer_06_to_07_sites_22_30compexch_layer_06_to_07_sites_22_30
compExch compexch_layer_17_to_18_sites_47_55compexch_layer_17_to_18_sites_47_55
compExch compexch_layer_03_to_04_sites_11_15compexch_layer_03_to_04_sites_11_15
compExch compexch_layer_19_to_20_sites_31_33compexch_layer_19_to_20_sites_31_33
compExch compexch_layer_10_to_11_sites_04_20compexch_layer_10_to_11_sites_04_20
compExch compexch_layer_13_to_14_sites_23_25compexch_layer_13_to_14_sites_23_25
arr_TOB (max_tobs_tot - 1 downto 0) TOBs_input
compExch compexch_layer_06_to_07_sites_07_15compexch_layer_06_to_07_sites_07_15
compExch compexch_layer_14_to_15_sites_25_26compexch_layer_14_to_15_sites_25_26
in addr_vmestd_logic_vector (15 downto 0)
compExch compexch_layer_00_to_01_sites_14_15compexch_layer_00_to_01_sites_14_15
compExch compexch_layer_14_to_15_sites_23_24compexch_layer_14_to_15_sites_23_24
compExch compexch_layer_09_to_10_sites_49_50compexch_layer_09_to_10_sites_49_50
compExch compexch_layer_08_to_09_sites_54_56compexch_layer_08_to_09_sites_54_56
compExch compexch_layer_10_to_11_sites_44_60compexch_layer_10_to_11_sites_44_60
compExch compexch_layer_05_to_06_sites_21_22compexch_layer_05_to_06_sites_21_22
compExch compexch_layer_06_to_07_sites_38_46compexch_layer_06_to_07_sites_38_46
compExch compexch_layer_08_to_09_sites_18_20compexch_layer_08_to_09_sites_18_20
compExch compexch_layer_20_to_21_sites_61_62compexch_layer_20_to_21_sites_61_62
compExch compexch_layer_00_to_01_sites_18_19compexch_layer_00_to_01_sites_18_19
compExch compexch_layer_09_to_10_sites_27_28compexch_layer_09_to_10_sites_27_28
compExch compexch_layer_10_to_11_sites_00_16compexch_layer_10_to_11_sites_00_16
compExch compexch_layer_01_to_02_sites_05_07compexch_layer_01_to_02_sites_05_07
compExch compexch_layer_02_to_03_sites_49_50compexch_layer_02_to_03_sites_49_50
compExch compexch_layer_01_to_02_sites_52_54compexch_layer_01_to_02_sites_52_54
compExch compexch_layer_14_to_15_sites_01_02compexch_layer_14_to_15_sites_01_02
roipos roipos_singleroipos_single
compExch compexch_layer_15_to_16_sites_04_36compexch_layer_15_to_16_sites_04_36
compExch compexch_layer_15_to_16_sites_12_44compexch_layer_15_to_16_sites_12_44
compExch compexch_layer_05_to_06_sites_43_44compexch_layer_05_to_06_sites_43_44
max_tobs_topointeger :=24
compExch compexch_layer_05_to_06_sites_57_58compexch_layer_05_to_06_sites_57_58
compExch compexch_layer_04_to_05_sites_58_60compexch_layer_04_to_05_sites_58_60
compExch compexch_layer_06_to_07_sites_32_40compexch_layer_06_to_07_sites_32_40
compExch compexch_layer_07_to_08_sites_54_58compexch_layer_07_to_08_sites_54_58
compExch compexch_layer_01_to_02_sites_32_34compexch_layer_01_to_02_sites_32_34
compExch compexch_layer_12_to_13_sites_21_25compexch_layer_12_to_13_sites_21_25
compExch compexch_layer_15_to_16_sites_28_60compexch_layer_15_to_16_sites_28_60
compExch compexch_layer_15_to_16_sites_11_43compexch_layer_15_to_16_sites_11_43
compExch compexch_layer_14_to_15_sites_11_12compexch_layer_14_to_15_sites_11_12
arr_19 (num_copies - 1 downto 0) Et2Et1_overflowing_TOB
compExch compexch_layer_01_to_02_sites_61_63compexch_layer_01_to_02_sites_61_63
compExch compexch_layer_16_to_17_sites_28_44compexch_layer_16_to_17_sites_28_44
compExch compexch_layer_00_to_01_sites_56_57compexch_layer_00_to_01_sites_56_57
compExch compexch_layer_07_to_08_sites_07_11compexch_layer_07_to_08_sites_07_11
compExch compexch_layer_12_to_13_sites_22_26compexch_layer_12_to_13_sites_22_26
compExch compexch_layer_19_to_20_sites_38_40compexch_layer_19_to_20_sites_38_40
compExch compexch_layer_01_to_02_sites_45_47compexch_layer_01_to_02_sites_45_47
compExch compexch_layer_01_to_02_sites_41_43compexch_layer_01_to_02_sites_41_43
compExch compexch_layer_10_to_11_sites_06_22compexch_layer_10_to_11_sites_06_22
compExch compexch_layer_03_to_04_sites_09_13compexch_layer_03_to_04_sites_09_13
compExch compexch_layer_15_to_16_sites_10_42compexch_layer_15_to_16_sites_10_42
compExch compexch_layer_09_to_10_sites_11_12compexch_layer_09_to_10_sites_11_12
compExch compexch_layer_09_to_10_sites_13_14compexch_layer_09_to_10_sites_13_14
compExch compexch_layer_02_to_03_sites_41_42compexch_layer_02_to_03_sites_41_42
compExch compexch_layer_04_to_05_sites_11_13compexch_layer_04_to_05_sites_11_13
compExch compexch_layer_00_to_01_sites_52_53compexch_layer_00_to_01_sites_52_53
compExch compexch_layer_16_to_17_sites_24_40compexch_layer_16_to_17_sites_24_40
compExch compexch_layer_03_to_04_sites_35_39compexch_layer_03_to_04_sites_35_39
out overflowstd_logic_vector (num_copies - 1 downto 0)
compExch compexch_layer_05_to_06_sites_33_34compexch_layer_05_to_06_sites_33_34
compExch compexch_layer_20_to_21_sites_05_06compexch_layer_20_to_21_sites_05_06
compExch compexch_layer_10_to_11_sites_11_27compexch_layer_10_to_11_sites_11_27
compExch compexch_layer_02_to_03_sites_17_18compexch_layer_02_to_03_sites_17_18
compExch compexch_layer_00_to_01_sites_08_09compexch_layer_00_to_01_sites_08_09
compExch compexch_layer_13_to_14_sites_42_44compexch_layer_13_to_14_sites_42_44
compExch compexch_layer_04_to_05_sites_50_52compexch_layer_04_to_05_sites_50_52
compExch compexch_layer_19_to_20_sites_43_45compexch_layer_19_to_20_sites_43_45
compExch compexch_layer_00_to_01_sites_30_31compexch_layer_00_to_01_sites_30_31
compExch compexch_layer_01_to_02_sites_12_14compexch_layer_01_to_02_sites_12_14
compExch compexch_layer_13_to_14_sites_54_56compexch_layer_13_to_14_sites_54_56
compExch compexch_layer_18_to_19_sites_29_33compexch_layer_18_to_19_sites_29_33
compExch compexch_layer_08_to_09_sites_51_53compexch_layer_08_to_09_sites_51_53
compExch compexch_layer_02_to_03_sites_29_30compexch_layer_02_to_03_sites_29_30
compExch compexch_layer_16_to_17_sites_19_35compexch_layer_16_to_17_sites_19_35
compExch compexch_layer_03_to_04_sites_08_12compexch_layer_03_to_04_sites_08_12
compExch compexch_layer_06_to_07_sites_48_56compexch_layer_06_to_07_sites_48_56
compExch compexch_layer_17_to_18_sites_42_50compexch_layer_17_to_18_sites_42_50
compExch compexch_layer_17_to_18_sites_45_53compexch_layer_17_to_18_sites_45_53
compExch compexch_layer_13_to_14_sites_19_21compexch_layer_13_to_14_sites_19_21
compExch compexch_layer_02_to_03_sites_01_02compexch_layer_02_to_03_sites_01_02
compExch compexch_layer_11_to_12_sites_47_55compexch_layer_11_to_12_sites_47_55
compExch compexch_layer_10_to_11_sites_03_19compexch_layer_10_to_11_sites_03_19
compExch compexch_layer_00_to_01_sites_32_33compexch_layer_00_to_01_sites_32_33
compExch compexch_layer_02_to_03_sites_13_14compexch_layer_02_to_03_sites_13_14
compExch compexch_layer_20_to_21_sites_35_36compexch_layer_20_to_21_sites_35_36
compExch compexch_layer_02_to_03_sites_37_38compexch_layer_02_to_03_sites_37_38
compExch compexch_layer_09_to_10_sites_23_24compexch_layer_09_to_10_sites_23_24
compExch compexch_layer_16_to_17_sites_31_47compexch_layer_16_to_17_sites_31_47
compExch compexch_layer_13_to_14_sites_39_41compexch_layer_13_to_14_sites_39_41
compExch compexch_layer_03_to_04_sites_42_46compexch_layer_03_to_04_sites_42_46
compExch compexch_layer_15_to_16_sites_02_34compexch_layer_15_to_16_sites_02_34
compExch compexch_layer_03_to_04_sites_40_44compexch_layer_03_to_04_sites_40_44
compExch compexch_layer_12_to_13_sites_36_40compexch_layer_12_to_13_sites_36_40
compExch compexch_layer_16_to_17_sites_20_36compexch_layer_16_to_17_sites_20_36
compExch compexch_layer_03_to_04_sites_19_23compexch_layer_03_to_04_sites_19_23
compExch compexch_layer_17_to_18_sites_31_39compexch_layer_17_to_18_sites_31_39
compExch compexch_layer_13_to_14_sites_02_04compexch_layer_13_to_14_sites_02_04
std_logic_vector (num_copies - 1 downto 0) overflow_sig
compExch compexch_layer_16_to_17_sites_22_38compexch_layer_16_to_17_sites_22_38
compExch compexch_layer_10_to_11_sites_01_17compexch_layer_10_to_11_sites_01_17
compExch compexch_layer_03_to_04_sites_50_54compexch_layer_03_to_04_sites_50_54
compExch compexch_layer_08_to_09_sites_07_09compexch_layer_08_to_09_sites_07_09
compExch compexch_layer_19_to_20_sites_06_08compexch_layer_19_to_20_sites_06_08
compExch compexch_layer_20_to_21_sites_13_14compexch_layer_20_to_21_sites_13_14
compExch compexch_layer_00_to_01_sites_50_51compexch_layer_00_to_01_sites_50_51
compExch compexch_layer_20_to_21_sites_07_08compexch_layer_20_to_21_sites_07_08
compExch compexch_layer_08_to_09_sites_43_45compexch_layer_08_to_09_sites_43_45
in clk40MHz_m180ostd_logic
compExch compexch_layer_12_to_13_sites_55_59compexch_layer_12_to_13_sites_55_59
compExch compexch_layer_00_to_01_sites_16_17compexch_layer_00_to_01_sites_16_17
compExch compexch_layer_13_to_14_sites_27_29compexch_layer_13_to_14_sites_27_29
compExch compexch_layer_09_to_10_sites_43_44compexch_layer_09_to_10_sites_43_44
compExch compexch_layer_03_to_04_sites_00_04compexch_layer_03_to_04_sites_00_04
compExch compexch_layer_05_to_06_sites_27_28compexch_layer_05_to_06_sites_27_28
compExch compexch_layer_15_to_16_sites_00_32compexch_layer_15_to_16_sites_00_32
compExch compexch_layer_13_to_14_sites_38_40compexch_layer_13_to_14_sites_38_40
compExch compexch_layer_09_to_10_sites_33_34compexch_layer_09_to_10_sites_33_34
compExch compexch_layer_03_to_04_sites_59_63compexch_layer_03_to_04_sites_59_63
compExch compexch_layer_15_to_16_sites_08_40compexch_layer_15_to_16_sites_08_40
compExch compexch_layer_15_to_16_sites_01_33compexch_layer_15_to_16_sites_01_33
compExch compexch_layer_11_to_12_sites_41_49compexch_layer_11_to_12_sites_41_49
compExch compexch_layer_20_to_21_sites_15_16compexch_layer_20_to_21_sites_15_16
compExch compexch_layer_19_to_20_sites_07_09compexch_layer_19_to_20_sites_07_09
compExch compexch_layer_18_to_19_sites_54_58compexch_layer_18_to_19_sites_54_58
compExch compexch_layer_15_to_16_sites_23_55compexch_layer_15_to_16_sites_23_55
compExch compexch_layer_02_to_03_sites_33_34compexch_layer_02_to_03_sites_33_34
compExch compexch_layer_07_to_08_sites_06_10compexch_layer_07_to_08_sites_06_10
in data_to_vmestd_logic_vector (width - 1 downto 0)
compExch compexch_layer_08_to_09_sites_11_13compexch_layer_08_to_09_sites_11_13
compExch compexch_layer_07_to_08_sites_55_59compexch_layer_07_to_08_sites_55_59
compExch compexch_layer_08_to_09_sites_23_25compexch_layer_08_to_09_sites_23_25
compExch compexch_layer_18_to_19_sites_22_26compexch_layer_18_to_19_sites_22_26
compExch compexch_layer_01_to_02_sites_53_55compexch_layer_01_to_02_sites_53_55
compExch compexch_layer_10_to_11_sites_07_23compexch_layer_10_to_11_sites_07_23
compExch compexch_layer_00_to_01_sites_22_23compexch_layer_00_to_01_sites_22_23
compExch compexch_layer_15_to_16_sites_17_49compexch_layer_15_to_16_sites_17_49
compExch compexch_layer_18_to_19_sites_04_08compexch_layer_18_to_19_sites_04_08
compExch compexch_layer_04_to_05_sites_03_05compexch_layer_04_to_05_sites_03_05
compExch compexch_layer_11_to_12_sites_43_51compexch_layer_11_to_12_sites_43_51
compExch compexch_layer_13_to_14_sites_06_08compexch_layer_13_to_14_sites_06_08
compExch compexch_layer_19_to_20_sites_55_57compexch_layer_19_to_20_sites_55_57
in counter_resetstd_logic
compExch compexch_layer_05_to_06_sites_41_42compexch_layer_05_to_06_sites_41_42
compExch compexch_layer_01_to_02_sites_33_35compexch_layer_01_to_02_sites_33_35
in bus_drive_from_belowstd_logic_vector
compExch compexch_layer_06_to_07_sites_54_62compexch_layer_06_to_07_sites_54_62
compExch compexch_layer_04_to_05_sites_02_04compexch_layer_04_to_05_sites_02_04
compExch compexch_layer_12_to_13_sites_38_42compexch_layer_12_to_13_sites_38_42
compExch compexch_layer_11_to_12_sites_15_23compexch_layer_11_to_12_sites_15_23
compExch compexch_layer_09_to_10_sites_55_56compexch_layer_09_to_10_sites_55_56
compExch compexch_layer_01_to_02_sites_13_15compexch_layer_01_to_02_sites_13_15
compExch compexch_layer_12_to_13_sites_53_57compexch_layer_12_to_13_sites_53_57
compExch compexch_layer_07_to_08_sites_37_41compexch_layer_07_to_08_sites_37_41
compExch compexch_layer_06_to_07_sites_35_43compexch_layer_06_to_07_sites_35_43