1 ----------------------------------------------------------------------------------
7 ----------------------------------------------------------------------------------
9 use IEEE.STD_LOGIC_1164.
ALL;
10 use IEEE.STD_LOGIC_ARITH.
ALL;
11 use IEEE.STD_LOGIC_UNSIGNED.
ALL;
13 use UNISIM.VComponents.
all;
107 DADDR_IN :
in (
6 downto 0);
-- Address bus for the dynamic reconfiguration port
108 DCLK_IN :
in ;
-- Clock input for the dynamic reconfiguration port
109 DEN_IN :
in ;
-- Enable Signal for the dynamic reconfiguration port
110 DI_IN :
in (
15 downto 0);
-- Input data bus for the dynamic reconfiguration port
111 DWE_IN :
in ;
-- Write Enable for the dynamic reconfiguration port
112 RESET_IN :
in ;
-- Reset signal for the System Monitor control logic
113 VAUXP1 :
in ;
-- Auxiliary Channel 1
115 VAUXP3 :
in ;
-- Auxiliary Channel 3
117 VAUXP4 :
in ;
-- Auxiliary Channel 4
119 VAUXP7 :
in ;
-- Auxiliary Channel 7
121 VAUXP8 :
in ;
-- Auxiliary Channel 8
123 VAUXP9 :
in ;
-- Auxiliary Channel 9
125 VAUXP10 :
in ;
-- Auxiliary Channel 10
127 VAUXP11 :
in ;
-- Auxiliary Channel 11
129 VAUXP12 :
in ;
-- Auxiliary Channel 12
131 VAUXP13 :
in ;
-- Auxiliary Channel 13
133 VAUXP14 :
in ;
-- Auxiliary Channel 14
135 VAUXP15 :
in ;
-- Auxiliary Channel 15
137 BUSY_OUT :
out ;
-- ADC Busy signal
138 CHANNEL_OUT :
out (
4 downto 0);
-- Channel Selection Outputs
139 DO_OUT :
out (
15 downto 0);
-- Output data bus for dynamic reconfiguration port
140 DRDY_OUT :
out ;
-- Data ready signal for the dynamic reconfiguration port
141 EOC_OUT :
out ;
-- End of Conversion Signal
142 EOS_OUT :
out ;
-- End of Sequence Signal
143 JTAGBUSY_OUT :
out ;
-- JTAG DRP transaction is in progress signal
144 JTAGLOCKED_OUT :
out ;
-- DRP port lock request has been made by JTAG
145 JTAGMODIFIED_OUT :
out ;
-- Indicates JTAG Write to the DRP has occurred
146 OT_OUT :
out ;
-- Over-Temperature alarm output
147 VCCAUX_ALARM_OUT :
out ;
-- VCCAUX-sensor alarm output
148 VCCINT_ALARM_OUT :
out ;
-- VCCINT-sensor alarm output
149 USER_TEMP_ALARM_OUT :
out ;
-- Temperature-sensor alarm output
150 VP_IN :
in ;
-- Dedicated Analog Input Pair
155 -- no more chipscope component sysmon_chipscope_ctrl is
156 -- no more chipscope port (
157 -- no more chipscope CONTROL0: inout std_logic_vector(35 downto 0));
158 -- no more chipscope end component;
161 -- no more chipscope component sysmon_chipscope_ila is
162 -- no more chipscope port (
163 -- no more chipscope CONTROL: inout std_logic_vector(35 downto 0);
164 -- no more chipscope CLK: in std_logic;
165 -- no more chipscope DATA: in std_logic_vector(26 downto 0);
166 -- no more chipscope TRIG0: in std_logic_vector(26 downto 0));
167 -- no more chipscope end component;
170 -- no more chipscope -- Chipscope
171 -- no more chipscope signal control0 : std_logic_vector(35 downto 0);
172 -- no more chipscope signal sysmon_trigger : std_logic_vector(26 downto 0);
173 -- no more chipscope signal sysmon_data : std_logic_vector(26 downto 0);
184 signal do : (15 downto 0);
208 gen_REG_RO_SYSMON_CTRL_BLOCK: for i_reg in 0 to 14 generate
211 ia_vme => ADDR_REG_RO_SYSMON_DATA_BLOCK+
(2*i_reg
),
226 if clk'event and clk = '1' then -- rising clock edge
303 JTAGBUSY_OUT =>
open,
304 JTAGLOCKED_OUT =>
open,
305 JTAGMODIFIED_OUT =>
open,
307 VCCAUX_ALARM_OUT =>
open,
308 VCCINT_ALARM_OUT =>
open,
309 USER_TEMP_ALARM_OUT =>
open,
314 -- no more chipscope sysmon_chipscope_ctrl_i : sysmon_chipscope_ctrl
315 -- no more chipscope port map(
316 -- no more chipscope CONTROL0 => control0
317 -- no more chipscope );
319 -- no more chipscope sysmon_chipscope_ila_i : sysmon_chipscope_ila
320 -- no more chipscope port map (
321 -- no more chipscope CONTROL => control0,
322 -- no more chipscope CLK => clk,
323 -- no more chipscope DATA => sysmon_data,
324 -- no more chipscope TRIG0 => sysmon_trigger
325 -- no more chipscope );
328 -- no more chipscope -- Chipscope trigger
329 -- no more chipscope sysmon_trigger(0) <= ds;
330 -- no more chipscope sysmon_trigger(1) <= rd_nwr;
331 -- no more chipscope sysmon_trigger(2) <= drdy;
332 -- no more chipscope sysmon_trigger(3) <= eoc;
333 -- no more chipscope sysmon_trigger(4) <= eos;
334 -- no more chipscope sysmon_trigger(5) <= busy;
335 -- no more chipscope sysmon_trigger(10 downto 6) <= channel;
336 -- no more chipscope sysmon_trigger(26 downto 11) <= do;
337 -- no more chipscope --sysmon_trigger(63 downto 27) <= (others=>'0');
339 -- no more chipscope -- Chipscope data
340 -- no more chipscope sysmon_data <= sysmon_trigger;
in BF_SYSMON_09_PSTD_LOGIC
in addr_vmestd_logic_vector (15 downto 0)
out data_vme_outstd_logic_vector (15 downto 0)
out data_vmestd_logic_vector (15 downto 0)
in BF_SYSMON_03_NSTD_LOGIC
in BF_SYSMON_10_PSTD_LOGIC
in BF_SYSMON_13_NSTD_LOGIC
in BF_SYSMON_12_PSTD_LOGIC
std_logic_vector (14 downto 0) bus_drive_from_below
out data_from_vmestd_logic_vector (width - 1 downto 0)
in BF_SYSMON_03_PSTD_LOGIC
in BF_SYSMON_09_NSTD_LOGIC
std_logic_vector (15 downto 0) do
in BF_SYSMON_13_PSTD_LOGIC
in BF_SYSMON_11_NSTD_LOGIC
in data_vme_from_belowarr_16
--! inputs from local registers and from
in BF_SYSMON_07_NSTD_LOGIC
in BF_SYSMON_01_NSTD_LOGIC
in data_vme_instd_logic_vector (15 downto 0)
in addr_vmestd_logic_vector (15 downto 0)
std_logic_vector (15 downto 0) :=( others =>'0' ) zeros_input
in BF_SYSMON_07_PSTD_LOGIC
in BF_SYSMON_10_NSTD_LOGIC
in data_to_vmestd_logic_vector (width - 1 downto 0)
in BF_SYSMON_04_NSTD_LOGIC
in BF_SYSMON_14_PSTD_LOGIC
out data_vme_upstd_logic_vector (15 downto 0)
--! connect this to
in addr_vmestd_logic_vector (15 downto 0)
in data_vme_instd_logic_vector (15 downto 0)
in BF_SYSMON_01_PSTD_LOGIC
out bus_drive_upstd_logic
or of all bus drive requests from below
std_logic_vector (4 downto 0) channel
in BF_SYSMON_08_NSTD_LOGIC
in BF_SYSMON_14_NSTD_LOGIC
in BF_SYSMON_08_PSTD_LOGIC
in BF_SYSMON_11_PSTD_LOGIC
ADDR_REG_RO_SYSMON_DATA_BLOCKinteger :=16#1364#
in BF_SYSMON_15_PSTD_LOGIC
arr_16 (14 downto 0) data_to_vme_REG_RO_SYSMON_DATA_BLOCK
out data_vme_outstd_logic_vector (15 downto 0)
in BF_SYSMON_04_PSTD_LOGIC
in BF_SYSMON_15_NSTD_LOGIC
sys_mon sys_mon_instsys_mon_inst
std_logic_vector (6 downto 0) channel_int
in BF_SYSMON_12_NSTD_LOGIC
arr_16 (14 downto 0) data_vme_from_below
in data_to_vmestd_logic_vector (width - 1 downto 0)
in bus_drive_from_belowstd_logic_vector