CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMX_top_Base.vhd
Go to the documentation of this file.
1 ----------------------------------------------------------------------------------
7 ----------------------------------------------------------------------------------
8 library IEEE;
9 use IEEE.STD_LOGIC_1164.ALL;
10 use IEEE.NUMERIC_STD.ALL;
11 
12 library UNISIM;
13 use UNISIM.VComponents.all;
14 
15 library work;
16 use work.CMXpackage.all;
17 use work.CMX_VME_defs.all;
18 use work.CMX_local_package.all;
19 use work.CMX_flavor_package.all;
20 
21 
22 
23 entity CMX_top_Base is
24  port (
25 
26  ----------------------------------------------------------------------------
27  -- VME-- backplane (65 signals)
28  ----------------------------------------------------------------------------
29  --GEOADDR0: in std_logic; -- GeoAddr0
30  OCB_GEO_ADRS_0: in std_logic;
31  --VMEADDR: in std_logic_vector(23 downto 1); -- Address bus
32  OCB_A01: in std_logic;
33  OCB_A02: in std_logic;
34  OCB_A03: in std_logic;
35  OCB_A04: in std_logic;
36  OCB_A05: in std_logic;
37  OCB_A06: in std_logic;
38  OCB_A07: in std_logic;
39  OCB_A08: in std_logic;
40  OCB_A09: in std_logic;
41  OCB_A10: in std_logic;
42  OCB_A11: in std_logic;
43  OCB_A12: in std_logic;
44  OCB_A13: in std_logic;
45  OCB_A14: in std_logic;
46  OCB_A15: in std_logic;
47  OCB_A16: in std_logic;
48  OCB_A17: in std_logic;
49  OCB_A18: in std_logic;
50  OCB_A19: in std_logic;
51  OCB_A20: in std_logic;
52  OCB_A21: in std_logic;
53  OCB_A22: in std_logic;
54  OCB_A23: in std_logic;
55  --VMEDS_L: in std_logic; -- DS strobe VMEDS_L
56  OCB_DS_B: in std_logic;
57  --VMEWR_L: in std_logic; -- VME Write VMEWR_L
58  OCB_WRITE_B: in std_logic;
59  --VMERST_L: in std_logic; -- System reset VMERST_L
60  OCB_SYS_RESET_B: in std_logic;
61  --VMEDATA: inout std_logic_vector(15 downto 0); -- Data bus VMEDATA
62  OCB_D: inout std_logic_vector(15 downto 0);
63  ----------------------------------------------------------------------------
64  --system monitor
65  BF_SYSMON_01_P : in STD_LOGIC; -- Auxiliary Channel 1
66  BF_SYSMON_01_N : in STD_LOGIC;
67  BF_SYSMON_03_P : in STD_LOGIC; -- Auxiliary Channel 3
68  BF_SYSMON_03_N : in STD_LOGIC;
69  BF_SYSMON_04_P : in STD_LOGIC; -- Auxiliary Channel 4
70  BF_SYSMON_04_N : in STD_LOGIC;
71  BF_SYSMON_07_P : in STD_LOGIC; -- Auxiliary Channel 7
72  BF_SYSMON_07_N : in STD_LOGIC;
73  BF_SYSMON_08_P : in STD_LOGIC; -- Auxiliary Channel 8
74  BF_SYSMON_08_N : in STD_LOGIC;
75  BF_SYSMON_09_P : in STD_LOGIC; -- Auxiliary Channel 9
76  BF_SYSMON_09_N : in STD_LOGIC;
77  BF_SYSMON_10_P : in STD_LOGIC; -- Auxiliary Channel 10
78  BF_SYSMON_10_N : in STD_LOGIC;
79  BF_SYSMON_11_P : in STD_LOGIC; -- Auxiliary Channel 11
80  BF_SYSMON_11_N : in STD_LOGIC;
81  BF_SYSMON_12_P : in STD_LOGIC; -- Auxiliary Channel 12
82  BF_SYSMON_12_N : in STD_LOGIC;
83  BF_SYSMON_13_P : in STD_LOGIC; -- Auxiliary Channel 13
84  BF_SYSMON_13_N : in STD_LOGIC;
85  BF_SYSMON_14_P : in STD_LOGIC; -- Auxiliary Channel 14
86  BF_SYSMON_14_N : in STD_LOGIC;
87  BF_SYSMON_15_P : in STD_LOGIC; -- Auxiliary Channel 15
88  BF_SYSMON_15_N : in STD_LOGIC;
89 
90  --backplane
91  P0_0 : in std_logic;
92  P0_1 : in std_logic;
93  P0_2 : in std_logic;
94  P0_3 : in std_logic;
95  P0_4 : in std_logic;
96  P0_5 : in std_logic;
97  P0_6 : in std_logic;
98  P0_7 : in std_logic;
99  P0_8 : in std_logic;
100  P0_9 : in std_logic;
101  P0_10 : in std_logic;
102  P0_11 : in std_logic;
103  P0_12 : in std_logic;
104  P0_13 : in std_logic;
105  P0_14 : in std_logic;
106  P0_15 : in std_logic;
107  P0_16 : in std_logic;
108  P0_17 : in std_logic;
109  P0_18 : in std_logic;
110  P0_19 : in std_logic;
111  P0_20 : in std_logic;
112  P0_21 : in std_logic;
113  P0_22 : in std_logic;
114  P0_23 : in std_logic;
115  P0_24 : in std_logic;
116  P1_0 : in std_logic;
117  P1_1 : in std_logic;
118  P1_2 : in std_logic;
119  P1_3 : in std_logic;
120  P1_4 : in std_logic;
121  P1_5 : in std_logic;
122  P1_6 : in std_logic;
123  P1_7 : in std_logic;
124  P1_8 : in std_logic;
125  P1_9 : in std_logic;
126  P1_10 : in std_logic;
127  P1_11 : in std_logic;
128  P1_12 : in std_logic;
129  P1_13 : in std_logic;
130  P1_14 : in std_logic;
131  P1_15 : in std_logic;
132  P1_16 : in std_logic;
133  P1_17 : in std_logic;
134  P1_18 : in std_logic;
135  P1_19 : in std_logic;
136  P1_20 : in std_logic;
137  P1_21 : in std_logic;
138  P1_22 : in std_logic;
139  P1_23 : in std_logic;
140  P1_24 : in std_logic;
141  P2_0 : in std_logic;
142  P2_1 : in std_logic;
143  P2_2 : in std_logic;
144  P2_3 : in std_logic;
145  P2_4 : in std_logic;
146  P2_5 : in std_logic;
147  P2_6 : in std_logic;
148  P2_7 : in std_logic;
149  P2_8 : in std_logic;
150  P2_9 : in std_logic;
151  P2_10 : in std_logic;
152  P2_11 : in std_logic;
153  P2_12 : in std_logic;
154  P2_13 : in std_logic;
155  P2_14 : in std_logic;
156  P2_15 : in std_logic;
157  P2_16 : in std_logic;
158  P2_17 : in std_logic;
159  P2_18 : in std_logic;
160  P2_19 : in std_logic;
161  P2_20 : in std_logic;
162  P2_21 : in std_logic;
163  P2_22 : in std_logic;
164  P2_23 : in std_logic;
165  P2_24 : in std_logic;
166  P3_0 : in std_logic;
167  P3_1 : in std_logic;
168  P3_2 : in std_logic;
169  P3_3 : in std_logic;
170  P3_4 : in std_logic;
171  P3_5 : in std_logic;
172  P3_6 : in std_logic;
173  P3_7 : in std_logic;
174  P3_8 : in std_logic;
175  P3_9 : in std_logic;
176  P3_10 : in std_logic;
177  P3_11 : in std_logic;
178  P3_12 : in std_logic;
179  P3_13 : in std_logic;
180  P3_14 : in std_logic;
181  P3_15 : in std_logic;
182  P3_16 : in std_logic;
183  P3_17 : in std_logic;
184  P3_18 : in std_logic;
185  P3_19 : in std_logic;
186  P3_20 : in std_logic;
187  P3_21 : in std_logic;
188  P3_22 : in std_logic;
189  P3_23 : in std_logic;
190  P3_24 : in std_logic;
191  P4_0 : in std_logic;
192  P4_1 : in std_logic;
193  P4_2 : in std_logic;
194  P4_3 : in std_logic;
195  P4_4 : in std_logic;
196  P4_5 : in std_logic;
197  P4_6 : in std_logic;
198  P4_7 : in std_logic;
199  P4_8 : in std_logic;
200  P4_9 : in std_logic;
201  P4_10 : in std_logic;
202  P4_11 : in std_logic;
203  P4_12 : in std_logic;
204  P4_13 : in std_logic;
205  P4_14 : in std_logic;
206  P4_15 : in std_logic;
207  P4_16 : in std_logic;
208  P4_17 : in std_logic;
209  P4_18 : in std_logic;
210  P4_19 : in std_logic;
211  P4_20 : in std_logic;
212  P4_21 : in std_logic;
213  P4_22 : in std_logic;
214  P4_23 : in std_logic;
215  P4_24 : in std_logic;
216  P5_0 : in std_logic;
217  P5_1 : in std_logic;
218  P5_2 : in std_logic;
219  P5_3 : in std_logic;
220  P5_4 : in std_logic;
221  P5_5 : in std_logic;
222  P5_6 : in std_logic;
223  P5_7 : in std_logic;
224  P5_8 : in std_logic;
225  P5_9 : in std_logic;
226  P5_10 : in std_logic;
227  P5_11 : in std_logic;
228  P5_12 : in std_logic;
229  P5_13 : in std_logic;
230  P5_14 : in std_logic;
231  P5_15 : in std_logic;
232  P5_16 : in std_logic;
233  P5_17 : in std_logic;
234  P5_18 : in std_logic;
235  P5_19 : in std_logic;
236  P5_20 : in std_logic;
237  P5_21 : in std_logic;
238  P5_22 : in std_logic;
239  P5_23 : in std_logic;
240  P5_24 : in std_logic;
241  P6_0 : in std_logic;
242  P6_1 : in std_logic;
243  P6_2 : in std_logic;
244  P6_3 : in std_logic;
245  P6_4 : in std_logic;
246  P6_5 : in std_logic;
247  P6_6 : in std_logic;
248  P6_7 : in std_logic;
249  P6_8 : in std_logic;
250  P6_9 : in std_logic;
251  P6_10 : in std_logic;
252  P6_11 : in std_logic;
253  P6_12 : in std_logic;
254  P6_13 : in std_logic;
255  P6_14 : in std_logic;
256  P6_15 : in std_logic;
257  P6_16 : in std_logic;
258  P6_17 : in std_logic;
259  P6_18 : in std_logic;
260  P6_19 : in std_logic;
261  P6_20 : in std_logic;
262  P6_21 : in std_logic;
263  P6_22 : in std_logic;
264  P6_23 : in std_logic;
265  P6_24 : in std_logic;
266  P7_0 : in std_logic;
267  P7_1 : in std_logic;
268  P7_2 : in std_logic;
269  P7_3 : in std_logic;
270  P7_4 : in std_logic;
271  P7_5 : in std_logic;
272  P7_6 : in std_logic;
273  P7_7 : in std_logic;
274  P7_8 : in std_logic;
275  P7_9 : in std_logic;
276  P7_10 : in std_logic;
277  P7_11 : in std_logic;
278  P7_12 : in std_logic;
279  P7_13 : in std_logic;
280  P7_14 : in std_logic;
281  P7_15 : in std_logic;
282  P7_16 : in std_logic;
283  P7_17 : in std_logic;
284  P7_18 : in std_logic;
285  P7_19 : in std_logic;
286  P7_20 : in std_logic;
287  P7_21 : in std_logic;
288  P7_22 : in std_logic;
289  P7_23 : in std_logic;
290  P7_24 : in std_logic;
291  P8_0 : in std_logic;
292  P8_1 : in std_logic;
293  P8_2 : in std_logic;
294  P8_3 : in std_logic;
295  P8_4 : in std_logic;
296  P8_5 : in std_logic;
297  P8_6 : in std_logic;
298  P8_7 : in std_logic;
299  P8_8 : in std_logic;
300  P8_9 : in std_logic;
301  P8_10 : in std_logic;
302  P8_11 : in std_logic;
303  P8_12 : in std_logic;
304  P8_13 : in std_logic;
305  P8_14 : in std_logic;
306  P8_15 : in std_logic;
307  P8_16 : in std_logic;
308  P8_17 : in std_logic;
309  P8_18 : in std_logic;
310  P8_19 : in std_logic;
311  P8_20 : in std_logic;
312  P8_21 : in std_logic;
313  P8_22 : in std_logic;
314  P8_23 : in std_logic;
315  P8_24 : in std_logic;
316  P9_0 : in std_logic;
317  P9_1 : in std_logic;
318  P9_2 : in std_logic;
319  P9_3 : in std_logic;
320  P9_4 : in std_logic;
321  P9_5 : in std_logic;
322  P9_6 : in std_logic;
323  P9_7 : in std_logic;
324  P9_8 : in std_logic;
325  P9_9 : in std_logic;
326  P9_10 : in std_logic;
327  P9_11 : in std_logic;
328  P9_12 : in std_logic;
329  P9_13 : in std_logic;
330  P9_14 : in std_logic;
331  P9_15 : in std_logic;
332  P9_16 : in std_logic;
333  P9_17 : in std_logic;
334  P9_18 : in std_logic;
335  P9_19 : in std_logic;
336  P9_20 : in std_logic;
337  P9_21 : in std_logic;
338  P9_22 : in std_logic;
339  P9_23 : in std_logic;
340  P9_24 : in std_logic;
341  P10_0 : in std_logic;
342  P10_1 : in std_logic;
343  P10_2 : in std_logic;
344  P10_3 : in std_logic;
345  P10_4 : in std_logic;
346  P10_5 : in std_logic;
347  P10_6 : in std_logic;
348  P10_7 : in std_logic;
349  P10_8 : in std_logic;
350  P10_9 : in std_logic;
351  P10_10 : in std_logic;
352  P10_11 : in std_logic;
353  P10_12 : in std_logic;
354  P10_13 : in std_logic;
355  P10_14 : in std_logic;
356  P10_15 : in std_logic;
357  P10_16 : in std_logic;
358  P10_17 : in std_logic;
359  P10_18 : in std_logic;
360  P10_19 : in std_logic;
361  P10_20 : in std_logic;
362  P10_21 : in std_logic;
363  P10_22 : in std_logic;
364  P10_23 : in std_logic;
365  P10_24 : in std_logic;
366  P11_0 : in std_logic;
367  P11_1 : in std_logic;
368  P11_2 : in std_logic;
369  P11_3 : in std_logic;
370  P11_4 : in std_logic;
371  P11_5 : in std_logic;
372  P11_6 : in std_logic;
373  P11_7 : in std_logic;
374  P11_8 : in std_logic;
375  P11_9 : in std_logic;
376  P11_10 : in std_logic;
377  P11_11 : in std_logic;
378  P11_12 : in std_logic;
379  P11_13 : in std_logic;
380  P11_14 : in std_logic;
381  P11_15 : in std_logic;
382  P11_16 : in std_logic;
383  P11_17 : in std_logic;
384  P11_18 : in std_logic;
385  P11_19 : in std_logic;
386  P11_20 : in std_logic;
387  P11_21 : in std_logic;
388  P11_22 : in std_logic;
389  P11_23 : in std_logic;
390  P11_24 : in std_logic;
391  P12_0 : in std_logic;
392  P12_1 : in std_logic;
393  P12_2 : in std_logic;
394  P12_3 : in std_logic;
395  P12_4 : in std_logic;
396  P12_5 : in std_logic;
397  P12_6 : in std_logic;
398  P12_7 : in std_logic;
399  P12_8 : in std_logic;
400  P12_9 : in std_logic;
401  P12_10 : in std_logic;
402  P12_11 : in std_logic;
403  P12_12 : in std_logic;
404  P12_13 : in std_logic;
405  P12_14 : in std_logic;
406  P12_15 : in std_logic;
407  P12_16 : in std_logic;
408  P12_17 : in std_logic;
409  P12_18 : in std_logic;
410  P12_19 : in std_logic;
411  P12_20 : in std_logic;
412  P12_21 : in std_logic;
413  P12_22 : in std_logic;
414  P12_23 : in std_logic;
415  P12_24 : in std_logic;
416  P13_0 : in std_logic;
417  P13_1 : in std_logic;
418  P13_2 : in std_logic;
419  P13_3 : in std_logic;
420  P13_4 : in std_logic;
421  P13_5 : in std_logic;
422  P13_6 : in std_logic;
423  P13_7 : in std_logic;
424  P13_8 : in std_logic;
425  P13_9 : in std_logic;
426  P13_10 : in std_logic;
427  P13_11 : in std_logic;
428  P13_12 : in std_logic;
429  P13_13 : in std_logic;
430  P13_14 : in std_logic;
431  P13_15 : in std_logic;
432  P13_16 : in std_logic;
433  P13_17 : in std_logic;
434  P13_18 : in std_logic;
435  P13_19 : in std_logic;
436  P13_20 : in std_logic;
437  P13_21 : in std_logic;
438  P13_22 : in std_logic;
439  P13_23 : in std_logic;
440  P13_24 : in std_logic;
441  P14_0 : in std_logic;
442  P14_1 : in std_logic;
443  P14_2 : in std_logic;
444  P14_3 : in std_logic;
445  P14_4 : in std_logic;
446  P14_5 : in std_logic;
447  P14_6 : in std_logic;
448  P14_7 : in std_logic;
449  P14_8 : in std_logic;
450  P14_9 : in std_logic;
451  P14_10 : in std_logic;
452  P14_11 : in std_logic;
453  P14_12 : in std_logic;
454  P14_13 : in std_logic;
455  P14_14 : in std_logic;
456  P14_15 : in std_logic;
457  P14_16 : in std_logic;
458  P14_17 : in std_logic;
459  P14_18 : in std_logic;
460  P14_19 : in std_logic;
461  P14_20 : in std_logic;
462  P14_21 : in std_logic;
463  P14_22 : in std_logic;
464  P14_23 : in std_logic;
465  P14_24 : in std_logic;
466  P15_0 : in std_logic;
467  P15_1 : in std_logic;
468  P15_2 : in std_logic;
469  P15_3 : in std_logic;
470  P15_4 : in std_logic;
471  P15_5 : in std_logic;
472  P15_6 : in std_logic;
473  P15_7 : in std_logic;
474  P15_8 : in std_logic;
475  P15_9 : in std_logic;
476  P15_10 : in std_logic;
477  P15_11 : in std_logic;
478  P15_12 : in std_logic;
479  P15_13 : in std_logic;
480  P15_14 : in std_logic;
481  P15_15 : in std_logic;
482  P15_16 : in std_logic;
483  P15_17 : in std_logic;
484  P15_18 : in std_logic;
485  P15_19 : in std_logic;
486  P15_20 : in std_logic;
487  P15_21 : in std_logic;
488  P15_22 : in std_logic;
489  P15_23 : in std_logic;
490  P15_24 : in std_logic;
491 
492 
493  --CLK_40MHz08_DSKW_2_BF_LOGIC_DIR : in std_logic;
494  --CLK_40MHz08_DSKW_2_BF_LOGIC_CMP : in std_logic;
495 
496  CLK_40MHz08_DSKW_1_BF_LOGIC_DIR : in std_logic;
497  CLK_40MHz08_DSKW_1_BF_LOGIC_CMP : in std_logic;
498 
499  CLK_40MHz08_DSKW_2_BF_LOGIC_DIR : in std_logic;
500  CLK_40MHz08_DSKW_2_BF_LOGIC_CMP : in std_logic;
501 
502 
503  --CLK_320MHz64_LHC_BF_LOGIC_DIR : in std_logic;
504  --CLK_320MHz64_LHC_BF_LOGIC_CMP : in std_logic;
505 
506  BF_DEBUG_0 : out std_logic;
507  BF_DEBUG_1 : out std_logic;
508  BF_DEBUG_2 : out std_logic;
509  BF_DEBUG_3 : out std_logic;
510  BF_DEBUG_4 : out std_logic;
511  BF_DEBUG_5 : out std_logic;
512  BF_DEBUG_6 : out std_logic;
513  BF_DEBUG_7 : out std_logic;
514  BF_DEBUG_8 : out std_logic;
515  BF_DEBUG_9 : out std_logic;
516 
517 
518  BF_REQ_CTP_1_INPUT : out std_logic;
519  BF_REQ_CTP_2_INPUT : out std_logic;
520  BF_REQ_CABLE_1_INPUT: out std_logic;
521  BF_REQ_CABLE_2_INPUT: out std_logic;
522  BF_REQ_CABLE_3_INPUT: out std_logic;
523  BF_LED_REQ_0 : out std_logic;
524  BF_LED_REQ_1 : out std_logic;
525  BF_LED_REQ_2 : out std_logic;
526  BF_LED_REQ_3 : out std_logic;
527  BF_LED_REQ_4 : out std_logic;
528  BF_TO_FROM_BSPT_0 : in std_logic;
529  BF_TO_FROM_BSPT_1 : in std_logic;
530  BF_TO_FROM_BSPT_2 : out std_logic;
531  BF_TO_FROM_BSPT_3 : out std_logic;
532  BF_TO_FROM_BSPT_4 : out std_logic;
533  BF_TO_FROM_BSPT_5 : out std_logic;
534  BF_TO_FROM_BSPT_6 : out std_logic;
535  BF_TO_FROM_BSPT_7 : out std_logic;
536 
537 
538  BF_DOUT_CTP_00 : out std_logic;
539  BF_DOUT_CTP_01 : out std_logic;
540  BF_DOUT_CTP_02 : out std_logic;
541  BF_DOUT_CTP_03 : out std_logic;
542  BF_DOUT_CTP_04 : out std_logic;
543  BF_DOUT_CTP_05 : out std_logic;
544  BF_DOUT_CTP_06 : out std_logic;
545  BF_DOUT_CTP_07 : out std_logic;
546  BF_DOUT_CTP_08 : out std_logic;
547  BF_DOUT_CTP_09 : out std_logic;
548  BF_DOUT_CTP_10 : out std_logic;
549  BF_DOUT_CTP_11 : out std_logic;
550  BF_DOUT_CTP_12 : out std_logic;
551  BF_DOUT_CTP_13 : out std_logic;
552  BF_DOUT_CTP_14 : out std_logic;
553  BF_DOUT_CTP_15 : out std_logic;
554  BF_DOUT_CTP_16 : out std_logic;
555  BF_DOUT_CTP_17 : out std_logic;
556  BF_DOUT_CTP_18 : out std_logic;
557  BF_DOUT_CTP_19 : out std_logic;
558  BF_DOUT_CTP_20 : out std_logic;
559  BF_DOUT_CTP_21 : out std_logic;
560  BF_DOUT_CTP_22 : out std_logic;
561  BF_DOUT_CTP_23 : out std_logic;
562  BF_DOUT_CTP_24 : out std_logic;
563  BF_DOUT_CTP_25 : out std_logic;
564  BF_DOUT_CTP_26 : out std_logic;
565  BF_DOUT_CTP_27 : out std_logic;
566  BF_DOUT_CTP_28 : out std_logic;
567  BF_DOUT_CTP_29 : out std_logic;
568  BF_DOUT_CTP_30 : out std_logic;
569  BF_DOUT_CTP_31 : out std_logic;
570  BF_DOUT_CTP_64 : out std_logic;
571 
572  BF_DOUT_CTP_32 : out std_logic;
573  BF_DOUT_CTP_33 : out std_logic;
574  BF_DOUT_CTP_34 : out std_logic;
575  BF_DOUT_CTP_35 : out std_logic;
576  BF_DOUT_CTP_36 : out std_logic;
577  BF_DOUT_CTP_37 : out std_logic;
578  BF_DOUT_CTP_38 : out std_logic;
579  BF_DOUT_CTP_39 : out std_logic;
580  BF_DOUT_CTP_40 : out std_logic;
581  BF_DOUT_CTP_41 : out std_logic;
582  BF_DOUT_CTP_42 : out std_logic;
583  BF_DOUT_CTP_43 : out std_logic;
584  BF_DOUT_CTP_44 : out std_logic;
585  BF_DOUT_CTP_45 : out std_logic;
586  BF_DOUT_CTP_46 : out std_logic;
587  BF_DOUT_CTP_47 : out std_logic;
588  BF_DOUT_CTP_48 : out std_logic;
589  BF_DOUT_CTP_49 : out std_logic;
590  BF_DOUT_CTP_50 : out std_logic;
591  BF_DOUT_CTP_51 : out std_logic;
592  BF_DOUT_CTP_52 : out std_logic;
593  BF_DOUT_CTP_53 : out std_logic;
594  BF_DOUT_CTP_54 : out std_logic;
595  BF_DOUT_CTP_55 : out std_logic;
596  BF_DOUT_CTP_56 : out std_logic;
597  BF_DOUT_CTP_57 : out std_logic;
598  BF_DOUT_CTP_58 : out std_logic;
599  BF_DOUT_CTP_59 : out std_logic;
600  BF_DOUT_CTP_60 : out std_logic;
601  BF_DOUT_CTP_61 : out std_logic;
602  BF_DOUT_CTP_62 : out std_logic;
603  BF_DOUT_CTP_63 : out std_logic;
604  BF_DOUT_CTP_65 : out std_logic;
605 
606  D_CBL_00_B : out std_logic;
607  D_CBL_01_B : out std_logic;
608  D_CBL_02_B : out std_logic;
609  D_CBL_03_B : out std_logic;
610  D_CBL_04_B : out std_logic;
611  D_CBL_05_B : out std_logic;
612  D_CBL_06_B : out std_logic;
613  D_CBL_07_B : out std_logic;
614  D_CBL_08_B : out std_logic;
615  D_CBL_09_B : out std_logic;
616  D_CBL_10_B : out std_logic;
617  D_CBL_11_B : out std_logic;
618  D_CBL_12_B : out std_logic;
619  D_CBL_13_B : out std_logic;
620  D_CBL_14_B : out std_logic;
621  D_CBL_15_B : out std_logic;
622  D_CBL_16_B : out std_logic;
623  D_CBL_17_B : out std_logic;
624  D_CBL_18_B : out std_logic;
625  D_CBL_19_B : out std_logic;
626  D_CBL_20_B : out std_logic;
627  D_CBL_21_B : out std_logic;
628  D_CBL_22_B : out std_logic;
629  D_CBL_23_B : out std_logic;
630  D_CBL_24_B : out std_logic;
631  D_CBL_25_B : out std_logic;
632  D_CBL_26_B : out std_logic;
633  D_CBL_81_B : out std_logic;
634 
635  D_CBL_27_B : out std_logic;
636  D_CBL_28_B : out std_logic;
637  D_CBL_29_B : out std_logic;
638  D_CBL_30_B : out std_logic;
639  D_CBL_31_B : out std_logic;
640  D_CBL_32_B : out std_logic;
641  D_CBL_33_B : out std_logic;
642  D_CBL_34_B : out std_logic;
643  D_CBL_35_B : out std_logic;
644  D_CBL_36_B : out std_logic;
645  D_CBL_37_B : out std_logic;
646  D_CBL_38_B : out std_logic;
647  D_CBL_39_B : out std_logic;
648  D_CBL_40_B : out std_logic;
649  D_CBL_41_B : out std_logic;
650  D_CBL_42_B : out std_logic;
651  D_CBL_43_B : out std_logic;
652  D_CBL_44_B : out std_logic;
653  D_CBL_45_B : out std_logic;
654  D_CBL_46_B : out std_logic;
655  D_CBL_47_B : out std_logic;
656  D_CBL_48_B : out std_logic;
657  D_CBL_49_B : out std_logic;
658  D_CBL_50_B : out std_logic;
659  D_CBL_51_B : out std_logic;
660  D_CBL_52_B : out std_logic;
661  D_CBL_53_B : out std_logic;
662  D_CBL_82_B : out std_logic;
663 
664  D_CBL_54_B : out std_logic;
665  D_CBL_55_B : out std_logic;
666  D_CBL_56_B : out std_logic;
667  D_CBL_57_B : out std_logic;
668  D_CBL_58_B : out std_logic;
669  D_CBL_59_B : out std_logic;
670  D_CBL_60_B : out std_logic;
671  D_CBL_61_B : out std_logic;
672  D_CBL_62_B : out std_logic;
673  D_CBL_63_B : out std_logic;
674  D_CBL_64_B : out std_logic;
675  D_CBL_65_B : out std_logic;
676  D_CBL_66_B : out std_logic;
677  D_CBL_67_B : out std_logic;
678  D_CBL_68_B : out std_logic;
679  D_CBL_69_B : out std_logic;
680  D_CBL_70_B : out std_logic;
681  D_CBL_71_B : out std_logic;
682  D_CBL_72_B : out std_logic;
683  D_CBL_73_B : out std_logic;
684  D_CBL_74_B : out std_logic;
685  D_CBL_75_B : out std_logic;
686  D_CBL_76_B : out std_logic;
687  D_CBL_77_B : out std_logic;
688  D_CBL_78_B : out std_logic;
689  D_CBL_79_B : out std_logic;
690  D_CBL_80_B : out std_logic;
691  D_CBL_83_B : out std_logic;
692 
693  BF_TO_TP_DAQ_SLINK_RETURN_DIR : in std_logic;
694  BF_TO_TP_DAQ_SLINK_RETURN_CMP : in std_logic;
695  BF_TO_TP_ROI_SLINK_RETURN_DIR : in std_logic;
696  BF_TO_TP_ROI_SLINK_RETURN_CMP : in std_logic;
697 
698  BUF_TTC_L1_ACCEPT : in std_logic;
699  BUF_TTC_BNCH_CNT_RES : in std_logic;
700 
701  -- sfp
702  CLK_120MHz000_XTAL_1_BF_TRNCV_DIR: in std_logic;
703  CLK_120MHz000_XTAL_1_BF_TRNCV_CMP: in std_logic;
704  BF_DAQ_DATA_OUT_DIR : out std_logic;
705  BF_DAQ_DATA_OUT_CMP : out std_logic;
706  BF_ROI_DATA_OUT_DIR : out std_logic;
707  BF_ROI_DATA_OUT_CMP : out std_logic;
708 
709  MP1_F01_QUAD_110_TRN_0_DIR : out std_logic;
710  MP1_F01_QUAD_110_TRN_0_CMP : out std_logic;
711  MP1_F03_QUAD_110_TRN_1_DIR : out std_logic;
712  MP1_F03_QUAD_110_TRN_1_CMP : out std_logic;
713  MP1_F07_QUAD_110_TRN_2_DIR : out std_logic;
714  MP1_F07_QUAD_110_TRN_2_CMP : out std_logic;
715  MP1_F05_QUAD_110_TRN_3_DIR : out std_logic;
716  MP1_F05_QUAD_110_TRN_3_CMP : out std_logic;
717  MP1_F09_QUAD_111_TRN_0_DIR : out std_logic;
718  MP1_F09_QUAD_111_TRN_0_CMP : out std_logic;
719  MP1_F11_QUAD_111_TRN_1_DIR : out std_logic;
720  MP1_F11_QUAD_111_TRN_1_CMP : out std_logic;
721  MP1_F10_QUAD_111_TRN_2_DIR : out std_logic;
722  MP1_F10_QUAD_111_TRN_2_CMP : out std_logic;
723  MP1_F08_QUAD_111_TRN_3_DIR : out std_logic;
724  MP1_F08_QUAD_111_TRN_3_CMP : out std_logic;
725  MP1_F04_QUAD_112_TRN_0_DIR : out std_logic;
726  MP1_F04_QUAD_112_TRN_0_CMP : out std_logic;
727  MP1_F06_QUAD_112_TRN_1_DIR : out std_logic;
728  MP1_F06_QUAD_112_TRN_1_CMP : out std_logic;
729  MP1_F02_QUAD_112_TRN_2_DIR : out std_logic;
730  MP1_F02_QUAD_112_TRN_2_CMP : out std_logic;
731  MP1_F00_QUAD_112_TRN_3_DIR : out std_logic;
732  MP1_F00_QUAD_112_TRN_3_CMP : out std_logic;
733  MP2_F01_QUAD_113_TRN_0_DIR : out std_logic;
734  MP2_F01_QUAD_113_TRN_0_CMP : out std_logic;
735  MP2_F03_QUAD_113_TRN_1_DIR : out std_logic;
736  MP2_F03_QUAD_113_TRN_1_CMP : out std_logic;
737  MP2_F07_QUAD_113_TRN_2_DIR : out std_logic;
738  MP2_F07_QUAD_113_TRN_2_CMP : out std_logic;
739  MP2_F05_QUAD_113_TRN_3_DIR : out std_logic;
740  MP2_F05_QUAD_113_TRN_3_CMP : out std_logic;
741  MP2_F09_QUAD_114_TRN_0_DIR : out std_logic;
742  MP2_F09_QUAD_114_TRN_0_CMP : out std_logic;
743  MP2_F11_QUAD_114_TRN_1_DIR : out std_logic;
744  MP2_F11_QUAD_114_TRN_1_CMP : out std_logic;
745  MP2_F10_QUAD_114_TRN_2_DIR : out std_logic;
746  MP2_F10_QUAD_114_TRN_2_CMP : out std_logic;
747  MP2_F08_QUAD_114_TRN_3_DIR : out std_logic;
748  MP2_F08_QUAD_114_TRN_3_CMP : out std_logic;
749  MP2_F04_QUAD_115_TRN_0_DIR : out std_logic;
750  MP2_F04_QUAD_115_TRN_0_CMP : out std_logic;
751  MP2_F06_QUAD_115_TRN_1_DIR : out std_logic;
752  MP2_F06_QUAD_115_TRN_1_CMP : out std_logic;
753  MP2_F02_QUAD_115_TRN_2_DIR : out std_logic;
754  MP2_F02_QUAD_115_TRN_2_CMP : out std_logic;
755  MP2_F00_QUAD_115_TRN_3_DIR : out std_logic;
756  MP2_F00_QUAD_115_TRN_3_CMP : out std_logic;
757  CLK_320MHz64_LHC_BF_QUAD_111_DIR : in std_logic;
758  CLK_320MHz64_LHC_BF_QUAD_111_CMP : in std_logic;
759  CLK_320MHz64_LHC_BF_QUAD_114_DIR : in std_logic;
760  CLK_320MHz64_LHC_BF_QUAD_114_CMP : in std_logic;
761  --clk40 : in std_logic;
762  RXN_IN : in std_logic_vector((num_GTX_per_group*num_GTX_groups)-1 downto 0);
763  RXP_IN : in std_logic_vector((num_GTX_per_group*num_GTX_groups)-1 downto 0)
764 
765 
766  );
767 
768 
769 end CMX_top_Base;
770 
771 architecture Behavioral of CMX_top_Base is
772 
773  attribute keep : string; -- keep signals in synthesis
774  attribute IOB : string;
775 
776 
777  ------------------------------------------------------------------------------
778  -- VME interface component used in BSPT FPGA (Ian's vme_interface)
779  ------------------------------------------------------------------------------
780  component CMX_BASE_VME_BSPT is
781  port (
782  clk40 : IN std_logic; -- 40MHz Clk
783  geoadd_0 : IN std_logic; -- GeoAddr0
784  n_ds0_int : IN std_logic; -- DS strobe
785  n_write : IN std_logic; -- VME Write
786  vme_address : IN std_logic_vector (23 DOWNTO 1); -- Address bus
787  board_ds : OUT std_logic; -- Board ds
788  brdsel_n : OUT std_logic -- Board select
789  );
790  end component;
791  -- signals for CMX_BASE_VME_INTERFACE component
792  signal ds: std_logic; -- board_ds output from VME (Ian model)
793  signal ncs: std_logic; -- brdsel_n output from VME (Ian model)
794 
795  signal vme_address : std_logic_vector(23 downto 1);
796 
797  component vme_outreg
798  generic (
799  ia_vme : integer;
800  width : integer);
801  port (
802  clk : in std_logic;
803  addr_vme : in std_logic_vector (15 downto 0);
804  ncs : in std_logic;
805  rd_nwr : in std_logic;
806  ds : in std_logic;
807  data_to_vme : in std_logic_vector (width-1 downto 0);
808  read_detect : out std_logic;
809  data_vme : out std_logic_vector (15 downto 0));
810  end component;
811 
812  signal read_detect_outreg_test : std_logic;
813  signal data_to_vme_outreg_test : std_logic_vector (15 downto 0);
814 
815 
816  component vme_inreg
817  generic (
818  ia_vme : integer;
819  width : integer);
820  port (
821  clk : in std_logic;
822  ncs : in std_logic;
823  rd_nwr : in std_logic;
824  ds : in std_logic;
825  data_from_vme : out std_logic_vector (width-1 downto 0);
826  data_to_vme : in std_logic_vector (width-1 downto 0);
827  addr_vme : in std_logic_vector (15 downto 0);
828  read_detect : out std_logic;
829  write_detect : out std_logic;
830  data_vme : inout std_logic_vector (15 downto 0));
831  end component;
832 
833  component vme_inreg_async is
834  generic (
835  ia_vme : integer;
836  width : integer);
837  port (
838  ncs : in std_logic;
839  rd_nwr : in std_logic;
840  ds : in std_logic;
841  addr_vme : in std_logic_vector (15 downto 0);
842  data_vme : inout std_logic_vector (15 downto 0);
843  data_from_vme : out std_logic_vector (width-1 downto 0);
844  data_to_vme : in std_logic_vector (width-1 downto 0));
845  end component vme_inreg_async;
846 
847  component vme_local_switch is
848  port (
849  data_vme_up : out std_logic_vector (15 downto 0);
850  data_vme_from_below : in arr_16;
851  bus_drive_up : out std_logic;
852  bus_drive_from_below : in std_logic_vector);
853  end component vme_local_switch;
854 
855  component vme_main_hub is
856  port (
857  data_vme : inout std_logic_vector(15 downto 0);
858  data_vme_from_below : in std_logic_vector (15 downto 0);
859  bus_drive_from_below : in std_logic;
860  data_vme_going_below : out std_logic_vector(15 downto 0));
861  end component vme_main_hub;
862 
863  signal data_vme_from_below_top : arr_16(1762 downto 0);
864  signal bus_drive_from_below_top : std_logic_vector(1762 downto 0);
865  signal bus_drive_up_top : std_logic;
866  signal data_vme_up_top : std_logic_vector(15 downto 0);
867  signal data_vme_going_below : std_logic_vector(15 downto 0);
868 
869  component vme_inreg_notri_async is
870  generic (
871  ia_vme : integer;
872  width : integer);
873  port (
874  ncs : in std_logic;
875  rd_nwr : in std_logic;
876  ds : in std_logic;
877  addr_vme : in std_logic_vector (15 downto 0);
878  data_vme_in : in std_logic_vector (15 downto 0);
879  data_vme_out : out std_logic_vector (15 downto 0);
880  bus_drive : out std_logic;
881  data_from_vme : out std_logic_vector (width-1 downto 0);
882  data_to_vme : in std_logic_vector (width-1 downto 0));
883  end component vme_inreg_notri_async;
884 
885  component vme_outreg_notri_async is
886  generic (
887  ia_vme : integer;
888  width : integer);
889  port (
890  ncs : in std_logic;
891  rd_nwr : in std_logic;
892  ds : in std_logic;
893  addr_vme : in std_logic_vector (15 downto 0);
894  data_vme : out std_logic_vector (15 downto 0);
895  bus_drive : out std_logic;
896  data_to_vme : in std_logic_vector (width-1 downto 0));
897  end component vme_outreg_notri_async;
898 
899  component vme_inreg_notri is
900  generic (
901  ia_vme : integer;
902  width : integer);
903  port (
904  clk : in std_logic;
905  ncs : in std_logic;
906  rd_nwr : in std_logic;
907  ds : in std_logic;
908  addr_vme : in std_logic_vector (15 downto 0);
909  data_vme_in : in std_logic_vector (15 downto 0);
910  data_vme_out : out std_logic_vector (15 downto 0);
911  bus_drive : out std_logic;
912  data_from_vme : out std_logic_vector (width-1 downto 0);
913  data_to_vme : in std_logic_vector (width-1 downto 0);
914  read_detect : out std_logic;
915  write_detect : out std_logic);
916  end component vme_inreg_notri;
917 
918  component vme_outreg_notri is
919  generic (
920  ia_vme : integer;
921  width : integer);
922  port (
923  clk : in std_logic;
924  ncs : in std_logic;
925  rd_nwr : in std_logic;
926  ds : in std_logic;
927  addr_vme : in std_logic_vector (15 downto 0);
928  data_vme : out std_logic_vector (15 downto 0);
929  bus_drive : out std_logic;
930  data_to_vme : in std_logic_vector (width-1 downto 0);
931  read_detect : out std_logic);
932  end component vme_outreg_notri;
933 
934  signal data_from_vme_test_rw : std_logic_vector (15 downto 0);
935  signal data_to_vme_test_rw : std_logic_vector (15 downto 0);
936  signal read_detect_inreg_test : std_logic;
937  signal write_detect_inreg_test : std_logic;
938  signal test_rw_counter : unsigned(15 downto 0);
939  signal data_to_vme_test_r : std_logic_vector (15 downto 0);
940 
941  signal start_playback, start_playback_r1: std_logic; --r1 is the the
942  --BF_TO_FROM_BSPT_0
943  --registered once
944  -- the first variable is
945  -- yet one more register
946  -- (so synchroniser)
947 
948  component CMX_version is
949  port (
950  clk40 : in std_logic;
951  ncs : in std_logic;
952  rd_nwr : in std_logic;
953  ds : in std_logic;
954  addr_vme : in std_logic_vector (15 downto 0);
955  data_vme_out : out std_logic_vector (15 downto 0);
956  bus_drive : out std_logic);
957  end component CMX_version;
958 
959 
960 
961  component sys_monitor is
962  generic (
964  port (
965  clk : in std_logic;
966  BF_SYSMON_01_P : in STD_LOGIC;
967  BF_SYSMON_01_N : in STD_LOGIC;
968  BF_SYSMON_03_P : in STD_LOGIC;
969  BF_SYSMON_03_N : in STD_LOGIC;
970  BF_SYSMON_04_P : in STD_LOGIC;
971  BF_SYSMON_04_N : in STD_LOGIC;
972  BF_SYSMON_07_P : in STD_LOGIC;
973  BF_SYSMON_07_N : in STD_LOGIC;
974  BF_SYSMON_08_P : in STD_LOGIC;
975  BF_SYSMON_08_N : in STD_LOGIC;
976  BF_SYSMON_09_P : in STD_LOGIC;
977  BF_SYSMON_09_N : in STD_LOGIC;
978  BF_SYSMON_10_P : in STD_LOGIC;
979  BF_SYSMON_10_N : in STD_LOGIC;
980  BF_SYSMON_11_P : in STD_LOGIC;
981  BF_SYSMON_11_N : in STD_LOGIC;
982  BF_SYSMON_12_P : in STD_LOGIC;
983  BF_SYSMON_12_N : in STD_LOGIC;
984  BF_SYSMON_13_P : in STD_LOGIC;
985  BF_SYSMON_13_N : in STD_LOGIC;
986  BF_SYSMON_14_P : in STD_LOGIC;
987  BF_SYSMON_14_N : in STD_LOGIC;
988  BF_SYSMON_15_P : in STD_LOGIC;
989  BF_SYSMON_15_N : in STD_LOGIC;
990  ncs : in std_logic;
991  rd_nwr : in std_logic;
992  ds : in std_logic;
993  addr_vme : in std_logic_vector (15 downto 0);
994  data_vme_in : in std_logic_vector (15 downto 0);
995  data_vme_out : out std_logic_vector (15 downto 0);
996  bus_drive : out std_logic);
997  end component sys_monitor;
998 
999 
1000  component CMX_input_module
1001  port (
1002  P : in mat_var (numactchan-1 downto 0);
1003  buf_clk40 : in std_logic;
1004  buf_clk40_m180o : in std_logic;
1005  buf_clk200 : in std_logic;
1006  pll_locked : in std_logic;
1007  ODATA : out arr_4Xword (numactchan-1 downto 0);
1008  ODATA_first_half : out arr_2Xword(numactchan -1 downto 0);
1009  PAR_ERROR_total : out std_logic;--_vector(numactchan-1 downto 0);
1010  counter_enable_out : out std_logic_vector(numactchan-1 downto 0);
1011  counter_values : out std_logic_vector(numactchan-1 downto 0);
1012  del_register : in del_register_type;
1013  upload_delays : in std_logic;
1014  quiet : in std_logic;
1015  start_playback : in std_logic;
1016  spy_write_inhibit : in std_logic;
1017  ncs : in std_logic;
1018  rd_nwr : in std_logic;
1019  ds : in std_logic;
1020  addr_vme : in std_logic_vector (15 downto 0);
1021  data_vme_in : in std_logic_vector (15 downto 0);
1022  data_vme_out : out std_logic_vector (15 downto 0);
1023  bus_drive : out std_logic
1024  );
1025  end component;
1026 
1027  signal counter_values : std_logic_vector(numactchan-1 downto 0);
1028  signal del_register : del_register_type;
1029  signal upload_delays : std_logic;
1030 
1031  --signal PAR_ERROR: std_logic_vector(numactchan-1 downto 0);
1032 
1033  signal quiet : std_logic;
1034  signal force : std_logic;
1035 
1036  signal data_from_vme_REG_RW_QUIET_FORCE : std_logic_vector(15 downto 0);
1037  signal data_to_vme_REG_RW_QUIET_FORCE : std_logic_vector(15 downto 0);
1038 
1039  signal DATA96 : arr_4Xword (numactchan-1 downto 0); --96 bit data at 40MHz
1040  signal ODATA_first_half : arr_2Xword(numactchan-1 downto 0);
1041 
1042  signal P : mat_var (numactchan-1 downto 0);
1043 
1044  signal BF_DEBUG : std_logic_vector(9 downto 0);
1045 
1046  signal counter_enable_inputmod_sig: std_logic_vector(numactchan-1 downto 0);
1047 
1048 
1049  component CMX_Memory_spy_inhibit is
1050  port (
1051  spy_write_inhibit : out std_logic;
1052  buf_clk40 : in std_logic;
1053  ncs : in std_logic;
1054  rd_nwr : in std_logic;
1055  ds : in std_logic;
1056  addr_vme : in std_logic_vector (15 downto 0);
1057  data_vme_in : in std_logic_vector (15 downto 0);
1058  data_vme_out : out std_logic_vector (15 downto 0);
1059  bus_drive : out std_logic);
1060  end component CMX_Memory_spy_inhibit;
1061 
1062  signal spy_write_inhibit : std_logic;
1063 
1064 
1065  component decoder is
1066  port (
1067  clk40MHz : in std_logic;
1068  clk40MHz_m90o : in std_logic;
1069  clk40MHz_90o : in std_logic;
1070  clk40MHz_m180o : in std_logic;
1071  pll_locked : in std_logic;
1072  datai : in arr_4Xword(max_cps-1 downto 0);
1073  datai_first_half : in arr_2Xword(max_cps-1 downto 0);
1074  Tobs_to_TOPO : out copy_arr_TOB;
1075  overflow : out std_logic_vector(num_copies-1 downto 0);
1076  BCID_in : in std_logic_vector(11 downto 0);
1077  BCID_delayed : out std_logic_vector(11 downto 0);
1078  --tob rate counter contol
1079  counter_inhibit : in std_logic;
1080  counter_reset : in std_logic;
1081  --VME control:
1082  ncs : in std_logic;
1083  rd_nwr : in std_logic;
1084  ds : in std_logic;
1085  addr_vme : in std_logic_vector (15 downto 0);
1086  data_vme_out : out std_logic_vector (15 downto 0);
1087  bus_drive : out std_logic);
1088  end component decoder;
1089 
1090  signal Tobs_to_TOPO : copy_arr_TOB;
1091  signal overflow : std_logic_vector(num_copies-1 downto 0);
1092 
1093 
1094  signal data_from_vme_REG_RW_JET_THRESHOLD_BLOCK : arr_16(1599 downto 0);
1095  signal data_to_vme_REG_RW_JET_THRESHOLD_BLOCK : arr_16(1599 downto 0);
1096 
1097  component adder_top is
1098  generic (
1100  gen_system : std_logic);
1101  port (
1102  clk : in T_SL; -- clock
1103  thresholds : in arr_16(max_cps*16*4-1 downto 0); -- thresholds
1104  datai : in arr_4Xword(max_cps-1 downto 0); -- input data
1105  din_cbl : in T_SLV150; -- remote input (multiplicty)
1106  din_cbla_ro : in T_SL; -- remote overflow
1107  din_cblb_ro : in T_SL; -- remote overflow
1108  din_cblc_ro : in T_SL; -- remote overflow
1109  dout_lcl : out T_SLV48; -- local multiplicity
1110  dout_lcl_ro : out T_SL; -- local overflow
1111  dout : out T_SLV62; -- global output data (multiplicity), including parity
1112  dout_ro : out T_SL; -- global overflow
1113  dout_cbla_mux0 : out std_logic_vector(33 downto 0); -- cable output data (multiplicity), including parity
1114  dout_cbla_mux1 : out std_logic_vector(33 downto 0); -- cable output data (multiplicity), including parity
1115  --VME control:
1116  ncs : in std_logic;
1117  rd_nwr : in std_logic;
1118  ds : in std_logic;
1119  addr_vme : in std_logic_vector (15 downto 0);
1120  data_vme_in : in std_logic_vector (15 downto 0);
1121  data_vme_out : out std_logic_vector (15 downto 0);
1122  bus_drive : out std_logic;
1123  par_err : in T_SLV2; -- parity error (input module - 0, RTM - 1)
1124  force : in T_SL; -- force
1125  -- counter signals
1126  reset : in T_SL;
1127  inhibit : in T_SL
1128  );
1129  end component;
1130 
1131  signal par_err : T_SLV2;
1132 
1133  component daq_collector is
1134  port (
1135  clk : in std_logic;
1136  datai : in arr_4Xword(max_cps-1 downto 0);
1137  din_cbl : in T_SLV150;
1138  din_cbla_ro : in T_SL;
1139  din_cblb_ro : in T_SL;
1140  din_cblc_ro : in T_SL;
1141  din_lcl : in T_SLV48;
1142  din_lcl_ro : in T_SL;
1143  dout : in T_SLV62;
1144  dout_ro : in T_SL;
1145  data_in_daq : out arr_96(19 downto 0);
1146  BCID_in : in std_logic_vector(11 downto 0);
1147  BCID_delayed : out std_logic_vector(11 downto 0));
1148  end component;
1149 
1150  signal dout_cbla_mux0 : std_logic_vector(33 downto 0);
1151  signal dout_cbla_mux1 : std_logic_vector(33 downto 0);
1152 
1153  signal data_to_RTM1 : std_logic_vector((numbits_in_RTM_connector*2)-1 downto 0);
1154 
1155 
1156  signal thresholds : arr_16(16*25*4-1 downto 0); -- thresholds
1157 
1158  signal dout_lcl : T_SLV48; -- local multiplicity
1159  signal dout_lcl_ro : T_SL; -- local overflow
1160 
1161  signal din_cbl : T_SLV150; --dummy just to not flag parity errors in daq
1162 
1163  component CMX_crate_cable_output_module is
1164  port (
1165  data : in std_logic_vector(numbits_in_RTM_connector*2*num_RTM_cables - 1 downto 0);
1166  ddr_data_out : out arr_RTM(num_RTM_cables-1 downto 0);
1167  buf_clk40 : in std_logic;
1168  buf_clk40_center : in std_logic;
1169  pll_locked : in std_logic;
1170  start_playback : in std_logic;
1171  spy_write_inhibit : in std_logic;
1172  ncs : in std_logic;
1173  rd_nwr : in std_logic;
1174  ds : in std_logic;
1175  addr_vme : in std_logic_vector (15 downto 0);
1176  data_vme_in : in std_logic_vector (15 downto 0);
1177  data_vme_out : out std_logic_vector (15 downto 0);
1178  bus_drive : out std_logic);
1179  end component CMX_crate_cable_output_module;
1180 
1181  signal data_to_RTM : std_logic_vector( numbits_in_RTM_connector*2*num_RTM_cables - 1 downto 0);
1182  signal ddr_data_out_RTM : arr_RTM(num_RTM_cables-1 downto 0);
1183 
1184  signal sdr_data_out_CTP1 : std_logic_vector(31 downto 0);
1185  signal sdr_data_out_CTP2 : std_logic_vector(31 downto 0);
1186  --signal sdr_data_out : std_logic_vector(31 downto 0);
1187 
1188  signal ddr_data_out_RTM1 : std_logic_vector(numbits_in_RTM_connector downto 0);
1189  --signal ddr_data_out_RTM2 : std_logic_vector(numbits_in_RTM_connector downto 0);
1190  --signal del_array_RTM : cable_del_array_type(numbits_in_RTM_connector downto 0);
1191 
1192  --signal ddr_data_in_RTM1 : std_logic_vector(numbits_in_RTM_connector downto 0);
1193  --signal ddr_data_in_RTM2 : std_logic_vector(numbits_in_RTM_connector downto 0);
1194  --signal sig_arr_RTM: arr_RTM(num_RTM_cables-1 downto 0);
1195  --signal data_from_RTM : std_logic_vector(numbits_in_RTM_connector*2*num_RTM_cables - 1 downto 0);
1196 
1197 
1198 
1199 
1200 
1201  --signal forwarded_clock_CTP2 : std_logic;
1202  --signal data_CTP2 : std_logic_vector((numbits_in_CTP_connector*2)-1 downto 0);
1203  --signal parity_CTP2 : std_logic;
1204  --signal ddr_data_in_CTP2 : std_logic_vector(numbits_in_CTP_connector downto 0);
1205  --
1206  --signal forwarded_clock_RTM3 : std_logic;
1207  --signal data_RTM3 : std_logic_vector((numbits_in_RTM_connector*2)-1 downto 0);
1208  --signal parity_RTM3 : std_logic;
1209  --signal ddr_data_in_RTM3 : std_logic_vector(numbits_in_RTM_connector downto 0);
1210 
1211  component BCID_counter
1212  port (
1213  reset : in std_logic;
1214  clk_40 : in std_logic;
1215  BCID_out : out std_logic_vector(11 downto 0);
1216  --VME control:
1217  ncs : in std_logic; --ports forwarded to the vme register instances
1218  rd_nwr : in std_logic;
1219  ds : in std_logic;
1220  addr_vme : in std_logic_vector (15 downto 0);
1221  data_vme_in : in std_logic_vector (15 downto 0);
1222  data_vme_out : out std_logic_vector (15 downto 0);
1223  bus_drive : out std_logic
1224  );
1225  end component;
1226  signal BCID_counter_sig : std_logic_vector(11 downto 0);
1227  signal BCID_delayed_decoder : std_logic_vector(11 downto 0);
1228  signal BCID_delayed_daq : std_logic_vector(11 downto 0);
1229 
1230 
1231 
1232  component Topo_Data_TX is
1233  port (
1234  MGTREFCLK_PAD_N_IN : in std_logic_vector(num_GTX_groups-1 downto 0);
1235  MGTREFCLK_PAD_P_IN : in std_logic_vector(num_GTX_groups-1 downto 0);
1236  GTXTXRESET_IN : in std_logic;
1237  GTXRXRESET_IN : in std_logic;
1238  GTX_TX_READY_OUT : out std_logic;
1239  GTX_RX_READY_OUT : out std_logic;
1240  RXN_IN : in std_logic_vector((num_GTX_per_group*num_GTX_groups)-1 downto 0);
1241  RXP_IN : in std_logic_vector((num_GTX_per_group*num_GTX_groups)-1 downto 0);
1242  TXN_OUT : out std_logic_vector((num_GTX_per_group*num_GTX_groups)-1 downto 0);
1243  TXP_OUT : out std_logic_vector((num_GTX_per_group*num_GTX_groups)-1 downto 0);
1244  clk40 : in std_logic;
1245  clk320 : in std_logic;
1246  pll_locked : in std_logic;
1247  send_align : in std_logic_vector((num_GTX_per_group*num_GTX_groups)-1 downto 0);
1248  BCID : in std_logic_vector(11 downto 0);
1249  indata : in std_logic_vector(TX_indata_length-1 downto 0);
1250  ext_trigger : in std_logic;
1251  ncs : in std_logic;
1252  rd_nwr : in std_logic;
1253  ds : in std_logic;
1254  addr_vme : in std_logic_vector (15 downto 0);
1255  data_vme_in : in std_logic_vector (15 downto 0);
1256  data_vme_out : out std_logic_vector (15 downto 0);
1257  bus_drive : out std_logic);
1258  end component Topo_Data_TX;
1259 
1260  component CMX_CP_Topo_Encoder is
1261  port (
1262  Tobs_to_TOPO : in copy_arr_TOB;
1263  overflow : in std_logic_vector(num_copies-1 downto 0);
1264  send_align_out : out std_logic_vector(num_GTX_groups*num_GTX_per_group - 1 downto 0);
1265  Data_out : out std_logic_vector(TX_indata_length - 1 downto 0));
1266  end component CMX_CP_Topo_Encoder;
1267 
1268  signal TXN_OUT : std_logic_vector((num_GTX_per_group*num_GTX_groups)-1 downto 0);
1269  signal TXP_OUT : std_logic_vector((num_GTX_per_group*num_GTX_groups)-1 downto 0);
1270 
1271  signal MGTREFCLK_PAD_N_IN : std_logic_vector(num_GTX_groups-1 downto 0);
1272  signal MGTREFCLK_PAD_P_IN : std_logic_vector(num_GTX_groups-1 downto 0);
1273 
1274  signal GTX_RX_READY_OUT : std_logic;
1275  signal GTX_TX_READY_OUT : std_logic;
1276 
1277 
1278  signal GTXTXRESET_IN : std_logic;
1279  signal GTXRXRESET_IN : std_logic;
1280 
1281  signal send_align : std_logic_vector(23 downto 0);
1282 
1283  signal indata_Topo_TX : std_logic_vector(TX_indata_length-1 downto 0);
1284 
1285  signal data_to_vme_REG_RW_TOPOTR_GTX_RESET : std_logic_vector(15 downto 0);
1286  signal data_from_vme_REG_RW_TOPOTR_GTX_RESET : std_logic_vector(15 downto 0);
1287 
1288  signal data_to_vme_REG_RO_TOPOTR_GTX_STATUS : std_logic_vector(15 downto 0);
1289 
1290  signal data_from_vme_REG_RW_DAQ_ROI_RESET : std_logic_vector(15 downto 0);
1291  signal data_to_vme_REG_RW_DAQ_ROI_RESET : std_logic_vector(15 downto 0);
1292 
1293  signal data_to_vme_REG_RO_DAQ_ROI_STATUS : std_logic_vector(15 downto 0);
1294 
1295  signal data_from_vme_REG_RW_DAQ_ROI_GTX_RESET: std_logic_vector(15 downto 0);
1296  signal data_to_vme_REG_RW_DAQ_ROI_GTX_RESET: std_logic_vector(15 downto 0);
1297  signal gtx_reset_SFP_DAQ, gtx_reset_SFP_ROI : std_logic;
1298 
1299  signal BUF_TTC_L1_ACCEPT_r: std_logic;
1300  signal l1a_synced: std_logic;
1301 
1302  signal bc_reset_synced : std_logic;
1303  signal BUF_TTC_BNCH_CNT_RES_r : std_logic;
1304 
1305  component CMX_rate_counter_inhibit is
1306  port (
1307  counter_inhibit : out std_logic;
1308  counter_reset : out std_logic;
1309  buf_clk40 : in std_logic;
1310  ncs : in std_logic;
1311  rd_nwr : in std_logic;
1312  ds : in std_logic;
1313  addr_vme : in std_logic_vector (15 downto 0);
1314  data_vme_in : in std_logic_vector (15 downto 0);
1315  data_vme_out : out std_logic_vector (15 downto 0);
1316  bus_drive : out std_logic);
1317  end component CMX_rate_counter_inhibit;
1318 
1319  signal counter_inhibit : std_logic;
1320  signal counter_reset : std_logic;
1321 
1322 
1323 
1324  component chipscope_icon_u2_c3
1325  port (
1326  CONTROL0 : inout std_logic_vector(35 downto 0);
1327  CONTROL1 : inout std_logic_vector(35 downto 0);
1328  CONTROL2 : inout std_logic_vector(35 downto 0)
1329  );
1330  end component;
1331 
1332  signal CONTROL0 : std_logic_vector(35 downto 0);
1333  signal CONTROL1 : std_logic_vector(35 downto 0);
1334  signal CONTROL2 : std_logic_vector(35 downto 0);
1335  --
1336  --
1337  --component chipscope_ila_CMX_top_inputmodclk
1338  -- port (
1339  -- CONTROL : inout std_logic_vector(35 downto 0);
1340  -- CLK : in std_logic;
1341  -- DATA : in std_logic_vector(2375 downto 0);
1342  -- TRIG0 : in std_logic_vector(35 downto 0));
1343  --end component;
1344 
1345  --signal DATA_chipscope_ila_CMX_top_inputmodclk : std_logic_vector(2375 downto 0);
1346  --signal TRIG0_chipscope_ila_CMX_top_inputmodclk : std_logic_vector(35 downto 0);
1347  --signal TRIG_forward_inputmodclk_outputmodclk : std_logic_vector(0 downto 0);
1348 
1349  --component chipscope_ila_IDELAY
1350  -- port (
1351  -- CONTROL : inout std_logic_vector(35 downto 0);
1352  -- CLK : in std_logic;
1353  -- DATA : in std_logic_vector(2000 downto 0);
1354  -- TRIG0 : in std_logic_vector(0 to 0));
1355  --end component;
1356 
1357  --signal DATA_chipscope_ila_IDELAY : std_logic_vector(2000 downto 0);
1358 
1359 
1360  --component chipscope_ila_CTP2
1361  -- port (
1362  -- CONTROL : inout std_logic_vector(35 downto 0);
1363  -- CLK : in std_logic;
1364  -- DATA : in std_logic_vector(64 downto 0);
1365  -- TRIG0 : in std_logic_vector(0 to 0));
1366  --end component;
1367  --
1368  --component chipscope_ila_RTM
1369  -- port (
1370  -- CONTROL : inout std_logic_vector(35 downto 0);
1371  -- CLK : in std_logic;
1372  -- DATA : in std_logic_vector(52 downto 0);
1373  -- TRIG0 : in std_logic_vector(0 to 0));
1374  --end component;
1375 
1376  --component chipscope_ila_LVDS_TX_CTP_RTM
1377  -- port (
1378  -- CONTROL : inout std_logic_vector(35 downto 0);
1379  -- CLK : in std_logic;
1380  -- DATA : in std_logic_vector(117 downto 0);
1381  -- TRIG0 : in std_logic_vector(1 downto 0));
1382  --end component;
1383 
1384 
1385  component CMX_clock_manager is
1386  port (
1387  I_DS1 : in std_logic;
1388  IB_DS1 : in std_logic;
1389  buf_clk40 : out std_logic;
1390  buf_clk40_90o : out std_logic;
1391  buf_clk40_m180o : out std_logic;
1392  buf_clk40_m90o : out std_logic;
1393  buf_clk320 : out std_logic;
1394  buf_clk160 : out std_logic;
1395  buf_clk200 : out std_logic;
1396  pll_locked : out std_logic;
1397  I_DS2 : in std_logic;
1398  IB_DS2 : in std_logic;
1399  buf_clk40_ds2 : out std_logic;
1400  pll_locked_ds2 : out std_logic;
1401  ncs : in std_logic;
1402  rd_nwr : in std_logic;
1403  ds : in std_logic;
1404  addr_vme : in std_logic_vector (15 downto 0);
1405  data_vme_in : in std_logic_vector (15 downto 0);
1406  data_vme_out : out std_logic_vector (15 downto 0);
1407  bus_drive : out std_logic);
1408  end component CMX_clock_manager;
1409 
1410 
1411 
1412  signal buf_clk40 : std_logic;
1413  signal buf_clk40_m180o : std_logic;
1414  signal buf_clk40_90o : std_logic;
1415  signal buf_clk40_m90o : std_logic;
1416 
1417  signal buf_clk320 : std_logic;
1418  signal buf_clk160 : std_logic;
1419  signal buf_clk200 : std_logic;
1420  signal pll_locked : std_logic;
1421 
1422  signal buf_clk40_ds2 : std_logic;
1423  signal pll_locked_ds2 : std_logic;
1424 
1425  component CMX_delay_generator
1426  generic (
1427  start_address : integer);
1428  port (
1429  clk40 : in std_logic;
1430  ncs : in std_logic;
1431  rd_nwr : in std_logic;
1432  ds : in std_logic;
1433  addr_vme : in std_logic_vector (15 downto 0);
1434  data_vme_in : in std_logic_vector (15 downto 0);
1435  data_vme_out : out std_logic_vector (15 downto 0);
1436  bus_drive : out std_logic;
1437  del_register : out del_register_type;
1438  upload_delays : out std_logic);
1439  end component;
1440 
1441  --no CTP output from the crate
1442  --component CMX_CTP_output_module is
1443  -- port (
1444  -- data : in std_logic_vector((numbits_in_CTP_connector*2)-1 downto 0);
1445  -- sdr_data_out : out arr_CTP;
1446  -- buf_clk40 : in std_logic;
1447  -- buf_clk40_center : in std_logic;
1448  -- buf_clk200 : in std_logic;
1449  -- pll_locked : in std_logic;
1450  -- start_playback : in std_logic;
1451  -- spy_write_inhibit : in std_logic;
1452  -- ncs : in std_logic;
1453  -- rd_nwr : in std_logic;
1454  -- ds : in std_logic;
1455  -- addr_vme : in std_logic_vector (15 downto 0);
1456  -- data_vme : inout std_logic_vector (15 downto 0));
1457  --end component CMX_CTP_output_module;
1458  --
1459  --signal sdr_data_CTP: arr_CTP;
1460 
1461 
1462 
1463  component SFP_Data_TXRX
1464  generic (
1465  direction : std_logic;
1466  clock_source : std_logic);
1467  port (
1468  MGTREFCLK : in std_logic;
1469  gtx_reset : in std_logic;
1470  local_pll_lock_out: out std_logic;
1471  GTX_TX_READY_OUT : out std_logic;
1472  GTX_RX_READY_OUT : out std_logic;
1473  PLLLKDET_diag : out std_logic;
1474  local_gtx_reset_diag : out std_logic;
1475  local_mmcm_reset_diag : out std_logic;
1476  GTXTEST_diag : out std_logic;
1477  RXN_IN : in std_logic;
1478  RXP_IN : in std_logic;
1479  TXN_OUT : out std_logic;
1480  TXP_OUT : out std_logic;
1481  clk40_out : out std_logic;
1482  clk120_out : out std_logic;
1483  clk40_in : in std_logic;
1484  clk120_in : in std_logic;
1485  indata : in std_logic_vector(7 downto 0);
1486  odata : out std_logic_vector(7 downto 0);
1487  TXPREEMPHASIS_IN : in std_logic_vector(3 downto 0);
1488  TXPOSTEMPHASIS_IN : in std_logic_vector(4 downto 0);
1489  TXDIFFCTRL_IN : in std_logic_vector(3 downto 0);
1490  RXEQMIX_IN : in std_logic_vector(2 downto 0);
1491  DFECLKDLYADJ : in std_logic_vector(5 downto 0);
1492  DFECLKDLYADJMON : out std_logic_vector(5 downto 0);
1493  DFEDLYOVRD : in std_logic;
1494  DFEEYEDACMON : out std_logic_vector(4 downto 0);
1495  DFESENSCAL : out std_logic_vector(2 downto 0);
1496  DFETAP1 : in std_logic_vector(4 downto 0);
1497  DFETAP1MONITOR : out std_logic_vector(4 downto 0);
1498  DFETAP2 : in std_logic_vector(4 downto 0);
1499  DFETAP2MONITOR : out std_logic_vector(4 downto 0);
1500  DFETAP3 : in std_logic_vector(3 downto 0);
1501  DFETAP3MONITOR : out std_logic_vector(3 downto 0);
1502  DFETAP4 : in std_logic_vector(3 downto 0);
1503  DFETAP4MONITOR : out std_logic_vector(3 downto 0);
1504  DFETAPOVRD : in std_logic);
1505  end component;
1506 
1507  signal MGTREFCLK_Q118 : std_logic;
1508 
1509  signal GTXTXRESET_IN_TX_SFP_DAQ : std_logic;
1510  signal GTXRXRESET_IN_TX_SFP_DAQ : std_logic;
1511  signal local_pll_lock_out_SFP_DAQ : std_logic;
1512  signal GTX_TX_READY_OUT_TX_SFP_DAQ : std_logic;
1513  signal GTX_RX_READY_OUT_TX_SFP_DAQ : std_logic;
1514  signal PLLLKDET_diag_TX_SFP_DAQ : std_logic;
1515  signal local_gtx_reset_diag_TX_SFP_DAQ : std_logic;
1516  signal local_mmcm_reset_diag_TX_SFP_DAQ : std_logic;
1517  signal GTXTEST_diag_TX_SFP_DAQ : std_logic;
1518  signal RXN_IN_TX_SFP_DAQ : std_logic;
1519  signal RXP_IN_TX_SFP_DAQ : std_logic;
1520  signal TXN_OUT_TX_SFP_DAQ : std_logic;
1521  signal TXP_OUT_TX_SFP_DAQ : std_logic;
1522  signal clk40_out_TX_SFP_DAQ : std_logic;
1523  signal clk120_out_TX_SFP_DAQ : std_logic;
1524  signal clk40_in_TX_SFP_DAQ : std_logic;
1525  signal clk120_in_TX_SFP_DAQ : std_logic;
1526  signal indata_TX_SFP_DAQ : std_logic_vector(7 downto 0);
1527  signal odata_TX_SFP_DAQ : std_logic_vector(7 downto 0);
1528  signal TXPREEMPHASIS_IN_TX_SFP_DAQ : std_logic_vector(3 downto 0);
1529  signal TXPOSTEMPHASIS_IN_TX_SFP_DAQ : std_logic_vector(4 downto 0);
1530  signal TXDIFFCTRL_IN_TX_SFP_DAQ : std_logic_vector(3 downto 0);
1531  signal RXEQMIX_IN_TX_SFP_DAQ : std_logic_vector(2 downto 0);
1532  signal DFECLKDLYADJ_TX_SFP_DAQ : std_logic_vector(5 downto 0);
1533  signal DFECLKDLYADJMON_TX_SFP_DAQ : std_logic_vector(5 downto 0);
1534  signal DFEDLYOVRD_TX_SFP_DAQ : std_logic;
1535  signal DFEEYEDACMON_TX_SFP_DAQ : std_logic_vector(4 downto 0);
1536  signal DFESENSCAL_TX_SFP_DAQ : std_logic_vector(2 downto 0);
1537  signal DFETAP1_TX_SFP_DAQ : std_logic_vector(4 downto 0);
1538  signal DFETAP1MONITOR_TX_SFP_DAQ : std_logic_vector(4 downto 0);
1539  signal DFETAP2_TX_SFP_DAQ : std_logic_vector(4 downto 0);
1540  signal DFETAP2MONITOR_TX_SFP_DAQ : std_logic_vector(4 downto 0);
1541  signal DFETAP3_TX_SFP_DAQ : std_logic_vector(3 downto 0);
1542  signal DFETAP3MONITOR_TX_SFP_DAQ : std_logic_vector(3 downto 0);
1543  signal DFETAP4_TX_SFP_DAQ : std_logic_vector(3 downto 0);
1544  signal DFETAP4MONITOR_TX_SFP_DAQ : std_logic_vector(3 downto 0);
1545  signal DFETAPOVRD_TX_SFP_DAQ : std_logic;
1546 
1547  signal GTXTXRESET_IN_TX_SFP_ROI : std_logic;
1548  signal GTXRXRESET_IN_TX_SFP_ROI : std_logic;
1549  signal local_pll_lock_out_SFP_ROI : std_logic;
1550  signal GTX_TX_READY_OUT_TX_SFP_ROI : std_logic;
1551  signal PLLLKDET_diag_TX_SFP_ROI : std_logic;
1552  signal local_gtx_reset_diag_TX_SFP_ROI : std_logic;
1553  signal local_mmcm_reset_diag_TX_SFP_ROI : std_logic;
1554  signal GTXTEST_diag_TX_SFP_ROI : std_logic;
1555  signal GTX_RX_READY_OUT_TX_SFP_ROI : std_logic;
1556  signal RXN_IN_TX_SFP_ROI : std_logic;
1557  signal RXP_IN_TX_SFP_ROI : std_logic;
1558  signal TXN_OUT_TX_SFP_ROI : std_logic;
1559  signal TXP_OUT_TX_SFP_ROI : std_logic;
1560  signal clk40_out_TX_SFP_ROI : std_logic;
1561  signal clk120_out_TX_SFP_ROI : std_logic;
1562  signal clk40_in_TX_SFP_ROI : std_logic;
1563  signal clk120_in_TX_SFP_ROI : std_logic;
1564  signal indata_TX_SFP_ROI : std_logic_vector(7 downto 0);
1565  signal odata_TX_SFP_ROI : std_logic_vector(7 downto 0);
1566  signal TXPREEMPHASIS_IN_TX_SFP_ROI : std_logic_vector(3 downto 0);
1567  signal TXPOSTEMPHASIS_IN_TX_SFP_ROI : std_logic_vector(4 downto 0);
1568  signal TXDIFFCTRL_IN_TX_SFP_ROI : std_logic_vector(3 downto 0);
1569  signal RXEQMIX_IN_TX_SFP_ROI : std_logic_vector(2 downto 0);
1570  signal DFECLKDLYADJ_TX_SFP_ROI : std_logic_vector(5 downto 0);
1571  signal DFECLKDLYADJMON_TX_SFP_ROI : std_logic_vector(5 downto 0);
1572  signal DFEDLYOVRD_TX_SFP_ROI : std_logic;
1573  signal DFEEYEDACMON_TX_SFP_ROI : std_logic_vector(4 downto 0);
1574  signal DFESENSCAL_TX_SFP_ROI : std_logic_vector(2 downto 0);
1575  signal DFETAP1_TX_SFP_ROI : std_logic_vector(4 downto 0);
1576  signal DFETAP1MONITOR_TX_SFP_ROI : std_logic_vector(4 downto 0);
1577  signal DFETAP2_TX_SFP_ROI : std_logic_vector(4 downto 0);
1578  signal DFETAP2MONITOR_TX_SFP_ROI : std_logic_vector(4 downto 0);
1579  signal DFETAP3_TX_SFP_ROI : std_logic_vector(3 downto 0);
1580  signal DFETAP3MONITOR_TX_SFP_ROI : std_logic_vector(3 downto 0);
1581  signal DFETAP4_TX_SFP_ROI : std_logic_vector(3 downto 0);
1582  signal DFETAP4MONITOR_TX_SFP_ROI : std_logic_vector(3 downto 0);
1583  signal DFETAPOVRD_TX_SFP_ROI : std_logic;
1584 
1585 
1586 -- glink emulator
1587 
1588  component glink_interface
1589  port (
1590  CLK_40MHz : in std_logic;
1591  CLK_120MHz : in std_logic;
1592  RST : in std_logic;
1593  DAQ_IN : in std_logic_vector (19 DOWNTO 0);
1594  ROI_IN : in std_logic_vector (19 DOWNTO 0);
1595  DAQ_DAV : in std_logic;
1596  ROI_DAV : in std_logic;
1597  DAQ_BYTE : OUT std_logic_vector (7 downto 0);
1598  ROI_BYTE : OUT std_logic_vector (7 downto 0);
1599  DAQ_ENCODED_DIAG : OUT std_logic_vector (23 downto 0);
1600  daq_byte_out : out std_logic_vector (1 downto 0);
1601  byte_pos_out : OUT std_logic_vector (5 downto 0);
1602  word_sel_out : OUT std_logic_vector(1 downto 0);
1603  readout_rst_out : OUT std_logic
1604  );
1605  end component;
1606 
1607  -- Glink emulator signals
1608 
1609  signal daq_in : std_logic_vector (19 DOWNTO 0);
1610  signal roi_in : std_logic_vector (19 DOWNTO 0);
1611  signal daq_dav : std_logic;
1612  signal roi_dav : std_logic;
1613  signal daq_byte : std_logic_vector (7 downto 0);
1614  signal roi_byte : std_logic_vector (7 downto 0);
1615  signal reset_daq : std_logic;
1616  signal daq_encoded_diag : std_logic_vector (23 downto 0);
1617  signal daq_byte_out : std_logic_vector (1 downto 0);
1618 
1619  signal byte_pos_out : std_logic_vector (5 downto 0);
1620  signal word_sel_out : std_logic_vector(1 downto 0);
1621  signal readout_rst_out : std_logic;
1622 
1623 -- chipscope vio
1624 
1625  component diagn_module_vio
1626  port (
1627  CONTROL: inout std_logic_vector(35 downto 0);
1628  ASYNC_OUT: out std_logic_vector(0 downto 0));
1629  end component;
1630 
1631 -- chipscope control signals
1632 
1633 
1634 
1635  signal data_ila_daq : std_logic_vector (53 downto 0);
1636  signal trig_ila_daq : std_logic_vector (33 downto 0);
1637 
1638  signal data_ila_encoder : std_logic_vector (20 downto 0);
1639  signal trig_ila_encoder : std_logic_vector (11 downto 0);
1640 
1641  signal data_ila_gtx_start : std_logic_vector (12 downto 0);
1642  signal trig_ila_gtx_start : std_logic_vector (2 downto 0);
1643 
1644 
1645  --signal data_ila_1 : std_logic_vector (16 downto 0);
1646 
1647  component glink_chipscope_analyzer
1648  port (
1649  CONTROL: inout std_logic_vector(35 downto 0);
1650  CLK: in std_logic;
1651  DATA: in std_logic_vector(53 downto 0);
1652  TRIG0: in std_logic_vector(33 downto 0));
1653  end component;
1654 
1655  component glink_chipscope_analyzer_encoder
1656  port (
1657  CONTROL: inout std_logic_vector(35 downto 0);
1658  CLK: in std_logic;
1659  DATA: in std_logic_vector(20 downto 0);
1660  TRIG0: in std_logic_vector(11 downto 0));
1661  end component;
1662 
1663  component glink_chipscope_analyzer_gtx_start is
1664  port (
1665  CONTROL : inout std_logic_vector(35 downto 0);
1666  CLK : in std_logic;
1667  DATA : in std_logic_vector(10 downto 0);
1668  TRIG0 : in std_logic_vector(0 to 0));
1669  end component glink_chipscope_analyzer_gtx_start;
1670 
1671 
1672 
1673 
1674  component daq_glink
1675  port (
1676  data_in : in arr_96(19 downto 0);
1677  bc_counter : in unsigned(11 downto 0);
1678  l1a : in std_logic;
1679  data_out : out std_logic_vector(19 downto 0);
1680  dav : out std_logic;
1681  clk4000 : in std_logic;
1682  clk4008 : in std_logic;
1683  reset : in std_logic;
1684  RAM_global_offset : in unsigned(7 downto 0);
1685  RAM_rel_offsets : in arr_ctr_8bit(18 downto 0);
1686  nslices : in unsigned(7 downto 0));
1687  end component;
1688 
1689  signal RAM_global_offset : unsigned(7 downto 0);
1690  signal RAM_rel_offsets : arr_ctr_8bit(18 downto 0);
1691  signal nslices : unsigned(7 downto 0);
1692 
1693  signal data_in_daq: arr_96(19 downto 0);
1694 
1695  --control of daq delays
1696  signal data_from_vme_REG_RW_DAQ_SLICE: std_logic_vector(15 downto 0);
1697  signal data_to_vme_REG_RW_DAQ_SLICE: std_logic_vector(15 downto 0);
1698  signal data_from_vme_REG_RW_DAQ_RAM_OFFSET: std_logic_vector(15 downto 0);
1699  signal data_to_vme_REG_RW_DAQ_RAM_OFFSET: std_logic_vector(15 downto 0);
1700 
1701  signal data_from_vme_REG_RW_DAQ_RAM_RELATIVE_OFFSET: arr_16(18 downto 0);
1702  signal data_to_vme_REG_RW_DAQ_RAM_RELATIVE_OFFSET: arr_16(18 downto 0);
1703 
1704 
1705 
1706 
1707  attribute keep of start_playback_r1, BUF_TTC_L1_ACCEPT_r, BUF_TTC_BNCH_CNT_RES_r, send_align, ODATA_first_half : signal is "TRUE";
1708  attribute IOB of BUF_TTC_L1_ACCEPT_r, BUF_TTC_BNCH_CNT_RES_r : signal is "FORCE";
1709 
1710  --attribute IOB of BF_DOUT_CTP_00 : signal is "TRUE";
1711  --attribute IOB of BF_DOUT_CTP_01 : signal is "TRUE";
1712  --attribute IOB of BF_DOUT_CTP_02 : signal is "TRUE";
1713  --attribute IOB of BF_DOUT_CTP_03 : signal is "TRUE";
1714  --attribute IOB of BF_DOUT_CTP_04 : signal is "TRUE";
1715  --attribute IOB of BF_DOUT_CTP_05 : signal is "TRUE";
1716  --attribute IOB of BF_DOUT_CTP_06 : signal is "TRUE";
1717  --attribute IOB of BF_DOUT_CTP_07 : signal is "TRUE";
1718  --attribute IOB of BF_DOUT_CTP_08 : signal is "TRUE";
1719  --attribute IOB of BF_DOUT_CTP_09 : signal is "TRUE";
1720  --attribute IOB of BF_DOUT_CTP_10 : signal is "TRUE";
1721  --attribute IOB of BF_DOUT_CTP_11 : signal is "TRUE";
1722  --attribute IOB of BF_DOUT_CTP_12 : signal is "TRUE";
1723  --attribute IOB of BF_DOUT_CTP_13 : signal is "TRUE";
1724  --attribute IOB of BF_DOUT_CTP_14 : signal is "TRUE";
1725  --attribute IOB of BF_DOUT_CTP_15 : signal is "TRUE";
1726  --attribute IOB of BF_DOUT_CTP_16 : signal is "TRUE";
1727  --attribute IOB of BF_DOUT_CTP_17 : signal is "TRUE";
1728  --attribute IOB of BF_DOUT_CTP_18 : signal is "TRUE";
1729  --attribute IOB of BF_DOUT_CTP_19 : signal is "TRUE";
1730  --attribute IOB of BF_DOUT_CTP_20 : signal is "TRUE";
1731  --attribute IOB of BF_DOUT_CTP_21 : signal is "TRUE";
1732  --attribute IOB of BF_DOUT_CTP_22 : signal is "TRUE";
1733  --attribute IOB of BF_DOUT_CTP_23 : signal is "TRUE";
1734  --attribute IOB of BF_DOUT_CTP_24 : signal is "TRUE";
1735  --attribute IOB of BF_DOUT_CTP_25 : signal is "TRUE";
1736  --attribute IOB of BF_DOUT_CTP_26 : signal is "TRUE";
1737  --attribute IOB of BF_DOUT_CTP_27 : signal is "TRUE";
1738  --attribute IOB of BF_DOUT_CTP_28 : signal is "TRUE";
1739  --attribute IOB of BF_DOUT_CTP_29 : signal is "TRUE";
1740  --attribute IOB of BF_DOUT_CTP_64 : signal is "TRUE";
1741  --
1742  --attribute IOB of BF_DOUT_CTP_32 : signal is "TRUE";
1743  --attribute IOB of BF_DOUT_CTP_33 : signal is "TRUE";
1744  --attribute IOB of BF_DOUT_CTP_34 : signal is "TRUE";
1745  --attribute IOB of BF_DOUT_CTP_35 : signal is "TRUE";
1746  --attribute IOB of BF_DOUT_CTP_36 : signal is "TRUE";
1747  --attribute IOB of BF_DOUT_CTP_37 : signal is "TRUE";
1748  --attribute IOB of BF_DOUT_CTP_38 : signal is "TRUE";
1749  --attribute IOB of BF_DOUT_CTP_39 : signal is "TRUE";
1750  --attribute IOB of BF_DOUT_CTP_40 : signal is "TRUE";
1751  --attribute IOB of BF_DOUT_CTP_41 : signal is "TRUE";
1752  --attribute IOB of BF_DOUT_CTP_42 : signal is "TRUE";
1753  --attribute IOB of BF_DOUT_CTP_43 : signal is "TRUE";
1754  --attribute IOB of BF_DOUT_CTP_44 : signal is "TRUE";
1755  --attribute IOB of BF_DOUT_CTP_45 : signal is "TRUE";
1756  --attribute IOB of BF_DOUT_CTP_46 : signal is "TRUE";
1757  --attribute IOB of BF_DOUT_CTP_47 : signal is "TRUE";
1758  --attribute IOB of BF_DOUT_CTP_48 : signal is "TRUE";
1759  --attribute IOB of BF_DOUT_CTP_49 : signal is "TRUE";
1760  --attribute IOB of BF_DOUT_CTP_50 : signal is "TRUE";
1761  --attribute IOB of BF_DOUT_CTP_51 : signal is "TRUE";
1762  --attribute IOB of BF_DOUT_CTP_52 : signal is "TRUE";
1763  --attribute IOB of BF_DOUT_CTP_53 : signal is "TRUE";
1764  --attribute IOB of BF_DOUT_CTP_54 : signal is "TRUE";
1765  --attribute IOB of BF_DOUT_CTP_55 : signal is "TRUE";
1766  --attribute IOB of BF_DOUT_CTP_56 : signal is "TRUE";
1767  --attribute IOB of BF_DOUT_CTP_57 : signal is "TRUE";
1768  --attribute IOB of BF_DOUT_CTP_58 : signal is "TRUE";
1769  --attribute IOB of BF_DOUT_CTP_59 : signal is "TRUE";
1770  --attribute IOB of BF_DOUT_CTP_60 : signal is "TRUE";
1771  --attribute IOB of BF_DOUT_CTP_61 : signal is "TRUE";
1772 
1773 
1774 
1775 
1776 
1777 
1778 
1779 
1780 
1781 Begin
1782 
1783  --safety setup
1784  BF_REQ_CTP_1_INPUT <= '0';
1785  BF_REQ_CTP_2_INPUT <= '0';
1786  BF_REQ_CABLE_1_INPUT<= '0';
1787  BF_REQ_CABLE_2_INPUT<= '0';
1788  BF_REQ_CABLE_3_INPUT<= '0';
1789  BF_LED_REQ_0 <= '0';
1790  BF_LED_REQ_1 <= '0';
1791  BF_LED_REQ_2 <= '0';
1792  BF_LED_REQ_3 <= '0';
1793  BF_LED_REQ_4 <= '0';
1794  --BF_TO_FROM_BSPT_0 <= '0';
1795  --BF_TO_FROM_BSPT_1 <= '0';
1796  BF_TO_FROM_BSPT_2 <= '0';
1797  BF_TO_FROM_BSPT_3 <= '0';
1798  BF_TO_FROM_BSPT_4 <= '0';
1799  BF_TO_FROM_BSPT_5 <= '0';
1800  BF_TO_FROM_BSPT_6 <= '0';
1801  BF_TO_FROM_BSPT_7 <= '0';
1802 
1803  --sdr_data_out_CTP1
1804  BF_DOUT_CTP_00 <= '0';
1805  BF_DOUT_CTP_01 <= '0';
1806  BF_DOUT_CTP_02 <= '0';
1807  BF_DOUT_CTP_03 <= '0';
1808  BF_DOUT_CTP_04 <= '0';
1809  BF_DOUT_CTP_05 <= '0';
1810  BF_DOUT_CTP_06 <= '0';
1811  BF_DOUT_CTP_07 <= '0';
1812  BF_DOUT_CTP_08 <= '0';
1813  BF_DOUT_CTP_09 <= '0';
1814  BF_DOUT_CTP_10 <= '0';
1815  BF_DOUT_CTP_11 <= '0';
1816  BF_DOUT_CTP_12 <= '0';
1817  BF_DOUT_CTP_13 <= '0';
1818  BF_DOUT_CTP_14 <= '0';
1819  BF_DOUT_CTP_15 <= '0';
1820  BF_DOUT_CTP_16 <= '0';
1821  BF_DOUT_CTP_17 <= '0';
1822  BF_DOUT_CTP_18 <= '0';
1823  BF_DOUT_CTP_19 <= '0';
1824  BF_DOUT_CTP_20 <= '0';
1825  BF_DOUT_CTP_21 <= '0';
1826  BF_DOUT_CTP_22 <= '0';
1827  BF_DOUT_CTP_23 <= '0';
1828  BF_DOUT_CTP_24 <= '0';
1829  BF_DOUT_CTP_25 <= '0';
1830  BF_DOUT_CTP_26 <= '0';
1831  BF_DOUT_CTP_27 <= '0';
1832  BF_DOUT_CTP_28 <= '0';
1833  BF_DOUT_CTP_29 <= '0';
1834  BF_DOUT_CTP_30 <= '0';
1835  BF_DOUT_CTP_64 <= '0';
1836  BF_DOUT_CTP_31 <= '0';
1837 
1838 
1839  BF_DOUT_CTP_32 <= '0';
1840  BF_DOUT_CTP_33 <= '0';
1841  BF_DOUT_CTP_34 <= '0';
1842  BF_DOUT_CTP_35 <= '0';
1843  BF_DOUT_CTP_36 <= '0';
1844  BF_DOUT_CTP_37 <= '0';
1845  BF_DOUT_CTP_38 <= '0';
1846  BF_DOUT_CTP_39 <= '0';
1847  BF_DOUT_CTP_40 <= '0';
1848  BF_DOUT_CTP_41 <= '0';
1849  BF_DOUT_CTP_42 <= '0';
1850  BF_DOUT_CTP_43 <= '0';
1851  BF_DOUT_CTP_44 <= '0';
1852  BF_DOUT_CTP_45 <= '0';
1853  BF_DOUT_CTP_46 <= '0';
1854  BF_DOUT_CTP_47 <= '0';
1855  BF_DOUT_CTP_48 <= '0';
1856  BF_DOUT_CTP_49 <= '0';
1857  BF_DOUT_CTP_50 <= '0';
1858  BF_DOUT_CTP_51 <= '0';
1859  BF_DOUT_CTP_52 <= '0';
1860  BF_DOUT_CTP_53 <= '0';
1861  BF_DOUT_CTP_54 <= '0';
1862  BF_DOUT_CTP_55 <= '0';
1863  BF_DOUT_CTP_56 <= '0';
1864  BF_DOUT_CTP_57 <= '0';
1865  BF_DOUT_CTP_58 <= '0';
1866  BF_DOUT_CTP_59 <= '0';
1867  BF_DOUT_CTP_60 <= '0';
1868  BF_DOUT_CTP_61 <= '0';
1869  BF_DOUT_CTP_62 <= '0';
1870  BF_DOUT_CTP_65 <= '0';
1871  BF_DOUT_CTP_63 <= '0';
1872 
1873 
1874 
1875 
1876 
1877 
1878 
1879 
1880  --ddr_data_in_CTP2(0) <=BF_DOUT_CTP_32;
1881  --ddr_data_in_CTP2(1) <=BF_DOUT_CTP_33;
1882  --ddr_data_in_CTP2(2) <=BF_DOUT_CTP_34;
1883  --ddr_data_in_CTP2(3) <=BF_DOUT_CTP_35;
1884  --ddr_data_in_CTP2(4) <=BF_DOUT_CTP_36;
1885  --ddr_data_in_CTP2(5) <=BF_DOUT_CTP_37;
1886  --ddr_data_in_CTP2(6) <=BF_DOUT_CTP_38;
1887  --ddr_data_in_CTP2(7) <=BF_DOUT_CTP_39;
1888  --ddr_data_in_CTP2(8) <=BF_DOUT_CTP_40;
1889  --ddr_data_in_CTP2(9) <=BF_DOUT_CTP_41;
1890  --ddr_data_in_CTP2(10) <=BF_DOUT_CTP_42;
1891  --ddr_data_in_CTP2(11) <=BF_DOUT_CTP_43;
1892  --ddr_data_in_CTP2(12) <=BF_DOUT_CTP_44;
1893  --ddr_data_in_CTP2(13) <=BF_DOUT_CTP_45;
1894  --ddr_data_in_CTP2(14) <=BF_DOUT_CTP_46;
1895  --ddr_data_in_CTP2(15) <=BF_DOUT_CTP_47;
1896  --ddr_data_in_CTP2(16) <=BF_DOUT_CTP_48;
1897  --ddr_data_in_CTP2(17) <=BF_DOUT_CTP_49;
1898  --ddr_data_in_CTP2(18) <=BF_DOUT_CTP_50;
1899  --ddr_data_in_CTP2(19) <=BF_DOUT_CTP_51;
1900  --ddr_data_in_CTP2(20) <=BF_DOUT_CTP_52;
1901  --ddr_data_in_CTP2(21) <=BF_DOUT_CTP_53;
1902  --ddr_data_in_CTP2(22) <=BF_DOUT_CTP_54;
1903  --ddr_data_in_CTP2(23) <=BF_DOUT_CTP_55;
1904  --ddr_data_in_CTP2(24) <=BF_DOUT_CTP_56;
1905  --ddr_data_in_CTP2(25) <=BF_DOUT_CTP_57;
1906  --ddr_data_in_CTP2(26) <=BF_DOUT_CTP_58;
1907  --ddr_data_in_CTP2(27) <=BF_DOUT_CTP_59;
1908  --ddr_data_in_CTP2(28) <=BF_DOUT_CTP_60;
1909  --ddr_data_in_CTP2(29) <=BF_DOUT_CTP_61;
1910  --ddr_data_in_CTP2(30) <=BF_DOUT_CTP_62;
1911  --ddr_data_in_CTP2(31) <=BF_DOUT_CTP_65;
1912  --ddr_data_in_CTP2(32) <=BF_DOUT_CTP_63;
1913 
1914 
1915  D_CBL_00_B <= ddr_data_out_RTM1(0);
1916  D_CBL_01_B <= ddr_data_out_RTM1(1);
1917  D_CBL_02_B <= ddr_data_out_RTM1(2);
1918  D_CBL_03_B <= ddr_data_out_RTM1(3);
1919  D_CBL_04_B <= ddr_data_out_RTM1(4);
1920  D_CBL_05_B <= ddr_data_out_RTM1(5);
1921  D_CBL_06_B <= ddr_data_out_RTM1(6);
1922  D_CBL_07_B <= ddr_data_out_RTM1(7);
1923  D_CBL_08_B <= ddr_data_out_RTM1(8);
1924  D_CBL_09_B <= ddr_data_out_RTM1(9);
1925  D_CBL_10_B <= ddr_data_out_RTM1(10);
1926  D_CBL_11_B <= ddr_data_out_RTM1(11);
1927  D_CBL_12_B <= ddr_data_out_RTM1(12);
1928  D_CBL_13_B <= ddr_data_out_RTM1(13);
1929  D_CBL_14_B <= ddr_data_out_RTM1(14);
1930  D_CBL_15_B <= ddr_data_out_RTM1(15);
1931  D_CBL_16_B <= ddr_data_out_RTM1(16);
1932  D_CBL_17_B <= ddr_data_out_RTM1(17);
1933  D_CBL_18_B <= ddr_data_out_RTM1(18);
1934  D_CBL_19_B <= ddr_data_out_RTM1(19);
1935  D_CBL_20_B <= ddr_data_out_RTM1(20);
1936  D_CBL_21_B <= ddr_data_out_RTM1(21);
1937  D_CBL_22_B <= ddr_data_out_RTM1(22);
1938  D_CBL_23_B <= ddr_data_out_RTM1(23);
1939  D_CBL_24_B <= ddr_data_out_RTM1(24);
1940  D_CBL_25_B <= ddr_data_out_RTM1(26);
1941  D_CBL_26_B <= ddr_data_out_RTM1(25);
1942  D_CBL_81_B <= '0';
1943 
1944  D_CBL_27_B <= '0';
1945  D_CBL_28_B <= '0';
1946  D_CBL_29_B <= '0';
1947  D_CBL_30_B <= '0';
1948  D_CBL_31_B <= '0';
1949  D_CBL_32_B <= '0';
1950  D_CBL_33_B <= '0';
1951  D_CBL_34_B <= '0';
1952  D_CBL_35_B <= '0';
1953  D_CBL_36_B <= '0';
1954  D_CBL_37_B <= '0';
1955  D_CBL_38_B <= '0';
1956  D_CBL_39_B <= '0';
1957  D_CBL_40_B <= '0';
1958  D_CBL_41_B <= '0';
1959  D_CBL_42_B <= '0';
1960  D_CBL_43_B <= '0';
1961  D_CBL_44_B <= '0';
1962  D_CBL_45_B <= '0';
1963  D_CBL_46_B <= '0';
1964  D_CBL_47_B <= '0';
1965  D_CBL_50_B <= '0';
1966  D_CBL_51_B <= '0';
1967  D_CBL_52_B <= '0';
1968  D_CBL_53_B <= '0';
1969  D_CBL_48_B <= '0';
1970  D_CBL_49_B <= '0';
1971  D_CBL_82_B <= '0';
1972 
1973  D_CBL_54_B <= '0';
1974  D_CBL_55_B <= '0';
1975  D_CBL_56_B <= '0';
1976  D_CBL_57_B <= '0';
1977  D_CBL_58_B <= '0';
1978  D_CBL_59_B <= '0';
1979  D_CBL_60_B <= '0';
1980  D_CBL_61_B <= '0';
1981  D_CBL_62_B <= '0';
1982  D_CBL_63_B <= '0';
1983  D_CBL_64_B <= '0';
1984  D_CBL_65_B <= '0';
1985  D_CBL_66_B <= '0';
1986  D_CBL_67_B <= '0';
1987  D_CBL_68_B <= '0';
1988  D_CBL_69_B <= '0';
1989  D_CBL_70_B <= '0';
1990  D_CBL_71_B <= '0';
1991  D_CBL_72_B <= '0';
1992  D_CBL_73_B <= '0';
1993  D_CBL_74_B <= '0';
1994  D_CBL_75_B <= '0';
1995  D_CBL_76_B <= '0';
1996  D_CBL_77_B <= '0';
1997  D_CBL_80_B <= '0';
1998  D_CBL_79_B <= '0';
1999  D_CBL_78_B <= '0';
2000  D_CBL_83_B <= '0';
2001 
2002 
2003  --backplane bus assignment
2004  P(0)(0) <= P0_0;
2005  P(0)(1) <= P0_1;
2006  P(0)(2) <= P0_2;
2007  P(0)(3) <= P0_3;
2008  P(0)(4) <= P0_4;
2009  P(0)(5) <= P0_5;
2010  P(0)(6) <= P0_6;
2011  P(0)(7) <= P0_7;
2012  P(0)(8) <= P0_8;
2013  P(0)(9) <= P0_9;
2014  P(0)(10) <= P0_10;
2015  P(0)(11) <= P0_11;
2016  P(0)(12) <= P0_12;
2017  P(0)(13) <= P0_13;
2018  P(0)(14) <= P0_14;
2019  P(0)(15) <= P0_15;
2020  P(0)(16) <= P0_16;
2021  P(0)(17) <= P0_17;
2022  P(0)(18) <= P0_18;
2023  P(0)(19) <= P0_19;
2024  P(0)(20) <= P0_20;
2025  P(0)(21) <= P0_21;
2026  P(0)(22) <= P0_22;
2027  P(0)(23) <= P0_23;
2028  P(0)(24) <= P0_24;
2029  P(1)(0) <= P1_0;
2030  P(1)(1) <= P1_1;
2031  P(1)(2) <= P1_2;
2032  P(1)(3) <= P1_3;
2033  P(1)(4) <= P1_4;
2034  P(1)(5) <= P1_5;
2035  P(1)(6) <= P1_6;
2036  P(1)(7) <= P1_7;
2037  P(1)(8) <= P1_8;
2038  P(1)(9) <= P1_9;
2039  P(1)(10) <= P1_10;
2040  P(1)(11) <= P1_11;
2041  P(1)(12) <= P1_12;
2042  P(1)(13) <= P1_13;
2043  P(1)(14) <= P1_14;
2044  P(1)(15) <= P1_15;
2045  P(1)(16) <= P1_16;
2046  P(1)(17) <= P1_17;
2047  P(1)(18) <= P1_18;
2048  P(1)(19) <= P1_19;
2049  P(1)(20) <= P1_20;
2050  P(1)(21) <= P1_21;
2051  P(1)(22) <= P1_22;
2052  P(1)(23) <= P1_23;
2053  P(1)(24) <= P1_24;
2054  P(2)(0) <= P2_0;
2055  P(2)(1) <= P2_1;
2056  P(2)(2) <= P2_2;
2057  P(2)(3) <= P2_3;
2058  P(2)(4) <= P2_4;
2059  P(2)(5) <= P2_5;
2060  P(2)(6) <= P2_6;
2061  P(2)(7) <= P2_7;
2062  P(2)(8) <= P2_8;
2063  P(2)(9) <= P2_9;
2064  P(2)(10) <= P2_10;
2065  P(2)(11) <= P2_11;
2066  P(2)(12) <= P2_12;
2067  P(2)(13) <= P2_13;
2068  P(2)(14) <= P2_14;
2069  P(2)(15) <= P2_15;
2070  P(2)(16) <= P2_16;
2071  P(2)(17) <= P2_17;
2072  P(2)(18) <= P2_18;
2073  P(2)(19) <= P2_19;
2074  P(2)(20) <= P2_20;
2075  P(2)(21) <= P2_21;
2076  P(2)(22) <= P2_22;
2077  P(2)(23) <= P2_23;
2078  P(2)(24) <= P2_24;
2079  P(3)(0) <= P3_0;
2080  P(3)(1) <= P3_1;
2081  P(3)(2) <= P3_2;
2082  P(3)(3) <= P3_3;
2083  P(3)(4) <= P3_4;
2084  P(3)(5) <= P3_5;
2085  P(3)(6) <= P3_6;
2086  P(3)(7) <= P3_7;
2087  P(3)(8) <= P3_8;
2088  P(3)(9) <= P3_9;
2089  P(3)(10) <= P3_10;
2090  P(3)(11) <= P3_11;
2091  P(3)(12) <= P3_12;
2092  P(3)(13) <= P3_13;
2093  P(3)(14) <= P3_14;
2094  P(3)(15) <= P3_15;
2095  P(3)(16) <= P3_16;
2096  P(3)(17) <= P3_17;
2097  P(3)(18) <= P3_18;
2098  P(3)(19) <= P3_19;
2099  P(3)(20) <= P3_20;
2100  P(3)(21) <= P3_21;
2101  P(3)(22) <= P3_22;
2102  P(3)(23) <= P3_23;
2103  P(3)(24) <= P3_24;
2104  P(4)(0) <= P4_0;
2105  P(4)(1) <= P4_1;
2106  P(4)(2) <= P4_2;
2107  P(4)(3) <= P4_3;
2108  P(4)(4) <= P4_4;
2109  P(4)(5) <= P4_5;
2110  P(4)(6) <= P4_6;
2111  P(4)(7) <= P4_7;
2112  P(4)(8) <= P4_8;
2113  P(4)(9) <= P4_9;
2114  P(4)(10) <= P4_10;
2115  P(4)(11) <= P4_11;
2116  P(4)(12) <= P4_12;
2117  P(4)(13) <= P4_13;
2118  P(4)(14) <= P4_14;
2119  P(4)(15) <= P4_15;
2120  P(4)(16) <= P4_16;
2121  P(4)(17) <= P4_17;
2122  P(4)(18) <= P4_18;
2123  P(4)(19) <= P4_19;
2124  P(4)(20) <= P4_20;
2125  P(4)(21) <= P4_21;
2126  P(4)(22) <= P4_22;
2127  P(4)(23) <= P4_23;
2128  P(4)(24) <= P4_24;
2129  P(5)(0) <= P5_0;
2130  P(5)(1) <= P5_1;
2131  P(5)(2) <= P5_2;
2132  P(5)(3) <= P5_3;
2133  P(5)(4) <= P5_4;
2134  P(5)(5) <= P5_5;
2135  P(5)(6) <= P5_6;
2136  P(5)(7) <= P5_7;
2137  P(5)(8) <= P5_8;
2138  P(5)(9) <= P5_9;
2139  P(5)(10) <= P5_10;
2140  P(5)(11) <= P5_11;
2141  P(5)(12) <= P5_12;
2142  P(5)(13) <= P5_13;
2143  P(5)(14) <= P5_14;
2144  P(5)(15) <= P5_15;
2145  P(5)(16) <= P5_16;
2146  P(5)(17) <= P5_17;
2147  P(5)(18) <= P5_18;
2148  P(5)(19) <= P5_19;
2149  P(5)(20) <= P5_20;
2150  P(5)(21) <= P5_21;
2151  P(5)(22) <= P5_22;
2152  P(5)(23) <= P5_23;
2153  P(5)(24) <= P5_24;
2154  P(6)(0) <= P6_0;
2155  P(6)(1) <= P6_1;
2156  P(6)(2) <= P6_2;
2157  P(6)(3) <= P6_3;
2158  P(6)(4) <= P6_4;
2159  P(6)(5) <= P6_5;
2160  P(6)(6) <= P6_6;
2161  P(6)(7) <= P6_7;
2162  P(6)(8) <= P6_8;
2163  P(6)(9) <= P6_9;
2164  P(6)(10) <= P6_10;
2165  P(6)(11) <= P6_11;
2166  P(6)(12) <= P6_12;
2167  P(6)(13) <= P6_13;
2168  P(6)(14) <= P6_14;
2169  P(6)(15) <= P6_15;
2170  P(6)(16) <= P6_16;
2171  P(6)(17) <= P6_17;
2172  P(6)(18) <= P6_18;
2173  P(6)(19) <= P6_19;
2174  P(6)(20) <= P6_20;
2175  P(6)(21) <= P6_21;
2176  P(6)(22) <= P6_22;
2177  P(6)(23) <= P6_23;
2178  P(6)(24) <= P6_24;
2179  P(7)(0) <= P7_0;
2180  P(7)(1) <= P7_1;
2181  P(7)(2) <= P7_2;
2182  P(7)(3) <= P7_3;
2183  P(7)(4) <= P7_4;
2184  P(7)(5) <= P7_5;
2185  P(7)(6) <= P7_6;
2186  P(7)(7) <= P7_7;
2187  P(7)(8) <= P7_8;
2188  P(7)(9) <= P7_9;
2189  P(7)(10) <= P7_10;
2190  P(7)(11) <= P7_11;
2191  P(7)(12) <= P7_12;
2192  P(7)(13) <= P7_13;
2193  P(7)(14) <= P7_14;
2194  P(7)(15) <= P7_15;
2195  P(7)(16) <= P7_16;
2196  P(7)(17) <= P7_17;
2197  P(7)(18) <= P7_18;
2198  P(7)(19) <= P7_19;
2199  P(7)(20) <= P7_20;
2200  P(7)(21) <= P7_21;
2201  P(7)(22) <= P7_22;
2202  P(7)(23) <= P7_23;
2203  P(7)(24) <= P7_24;
2204  P(8)(0) <= P8_0;
2205  P(8)(1) <= P8_1;
2206  P(8)(2) <= P8_2;
2207  P(8)(3) <= P8_3;
2208  P(8)(4) <= P8_4;
2209  P(8)(5) <= P8_5;
2210  P(8)(6) <= P8_6;
2211  P(8)(7) <= P8_7;
2212  P(8)(8) <= P8_8;
2213  P(8)(9) <= P8_9;
2214  P(8)(10) <= P8_10;
2215  P(8)(11) <= P8_11;
2216  P(8)(12) <= P8_12;
2217  P(8)(13) <= P8_13;
2218  P(8)(14) <= P8_14;
2219  P(8)(15) <= P8_15;
2220  P(8)(16) <= P8_16;
2221  P(8)(17) <= P8_17;
2222  P(8)(18) <= P8_18;
2223  P(8)(19) <= P8_19;
2224  P(8)(20) <= P8_20;
2225  P(8)(21) <= P8_21;
2226  P(8)(22) <= P8_22;
2227  P(8)(23) <= P8_23;
2228  P(8)(24) <= P8_24;
2229  P(9)(0) <= P9_0;
2230  P(9)(1) <= P9_1;
2231  P(9)(2) <= P9_2;
2232  P(9)(3) <= P9_3;
2233  P(9)(4) <= P9_4;
2234  P(9)(5) <= P9_5;
2235  P(9)(6) <= P9_6;
2236  P(9)(7) <= P9_7;
2237  P(9)(8) <= P9_8;
2238  P(9)(9) <= P9_9;
2239  P(9)(10) <= P9_10;
2240  P(9)(11) <= P9_11;
2241  P(9)(12) <= P9_12;
2242  P(9)(13) <= P9_13;
2243  P(9)(14) <= P9_14;
2244  P(9)(15) <= P9_15;
2245  P(9)(16) <= P9_16;
2246  P(9)(17) <= P9_17;
2247  P(9)(18) <= P9_18;
2248  P(9)(19) <= P9_19;
2249  P(9)(20) <= P9_20;
2250  P(9)(21) <= P9_21;
2251  P(9)(22) <= P9_22;
2252  P(9)(23) <= P9_23;
2253  P(9)(24) <= P9_24;
2254  P(10)(0) <= P10_0;
2255  P(10)(1) <= P10_1;
2256  P(10)(2) <= P10_2;
2257  P(10)(3) <= P10_3;
2258  P(10)(4) <= P10_4;
2259  P(10)(5) <= P10_5;
2260  P(10)(6) <= P10_6;
2261  P(10)(7) <= P10_7;
2262  P(10)(8) <= P10_8;
2263  P(10)(9) <= P10_9;
2264  P(10)(10) <= P10_10;
2265  P(10)(11) <= P10_11;
2266  P(10)(12) <= P10_12;
2267  P(10)(13) <= P10_13;
2268  P(10)(14) <= P10_14;
2269  P(10)(15) <= P10_15;
2270  P(10)(16) <= P10_16;
2271  P(10)(17) <= P10_17;
2272  P(10)(18) <= P10_18;
2273  P(10)(19) <= P10_19;
2274  P(10)(20) <= P10_20;
2275  P(10)(21) <= P10_21;
2276  P(10)(22) <= P10_22;
2277  P(10)(23) <= P10_23;
2278  P(10)(24) <= P10_24;
2279  P(11)(0) <= P11_0;
2280  P(11)(1) <= P11_1;
2281  P(11)(2) <= P11_2;
2282  P(11)(3) <= P11_3;
2283  P(11)(4) <= P11_4;
2284  P(11)(5) <= P11_5;
2285  P(11)(6) <= P11_6;
2286  P(11)(7) <= P11_7;
2287  P(11)(8) <= P11_8;
2288  P(11)(9) <= P11_9;
2289  P(11)(10) <= P11_10;
2290  P(11)(11) <= P11_11;
2291  P(11)(12) <= P11_12;
2292  P(11)(13) <= P11_13;
2293  P(11)(14) <= P11_14;
2294  P(11)(15) <= P11_15;
2295  P(11)(16) <= P11_16;
2296  P(11)(17) <= P11_17;
2297  P(11)(18) <= P11_18;
2298  P(11)(19) <= P11_19;
2299  P(11)(20) <= P11_20;
2300  P(11)(21) <= P11_21;
2301  P(11)(22) <= P11_22;
2302  P(11)(23) <= P11_23;
2303  P(11)(24) <= P11_24;
2304  P(12)(0) <= P12_0;
2305  P(12)(1) <= P12_1;
2306  P(12)(2) <= P12_2;
2307  P(12)(3) <= P12_3;
2308  P(12)(4) <= P12_4;
2309  P(12)(5) <= P12_5;
2310  P(12)(6) <= P12_6;
2311  P(12)(7) <= P12_7;
2312  P(12)(8) <= P12_8;
2313  P(12)(9) <= P12_9;
2314  P(12)(10) <= P12_10;
2315  P(12)(11) <= P12_11;
2316  P(12)(12) <= P12_12;
2317  P(12)(13) <= P12_13;
2318  P(12)(14) <= P12_14;
2319  P(12)(15) <= P12_15;
2320  P(12)(16) <= P12_16;
2321  P(12)(17) <= P12_17;
2322  P(12)(18) <= P12_18;
2323  P(12)(19) <= P12_19;
2324  P(12)(20) <= P12_20;
2325  P(12)(21) <= P12_21;
2326  P(12)(22) <= P12_22;
2327  P(12)(23) <= P12_23;
2328  P(12)(24) <= P12_24;
2329  P(13)(0) <= P13_0;
2330  P(13)(1) <= P13_1;
2331  P(13)(2) <= P13_2;
2332  P(13)(3) <= P13_3;
2333  P(13)(4) <= P13_4;
2334  P(13)(5) <= P13_5;
2335  P(13)(6) <= P13_6;
2336  P(13)(7) <= P13_7;
2337  P(13)(8) <= P13_8;
2338  P(13)(9) <= P13_9;
2339  P(13)(10) <= P13_10;
2340  P(13)(11) <= P13_11;
2341  P(13)(12) <= P13_12;
2342  P(13)(13) <= P13_13;
2343  P(13)(14) <= P13_14;
2344  P(13)(15) <= P13_15;
2345  P(13)(16) <= P13_16;
2346  P(13)(17) <= P13_17;
2347  P(13)(18) <= P13_18;
2348  P(13)(19) <= P13_19;
2349  P(13)(20) <= P13_20;
2350  P(13)(21) <= P13_21;
2351  P(13)(22) <= P13_22;
2352  P(13)(23) <= P13_23;
2353  P(13)(24) <= P13_24;
2354  P(14)(0) <= P14_0;
2355  P(14)(1) <= P14_1;
2356  P(14)(2) <= P14_2;
2357  P(14)(3) <= P14_3;
2358  P(14)(4) <= P14_4;
2359  P(14)(5) <= P14_5;
2360  P(14)(6) <= P14_6;
2361  P(14)(7) <= P14_7;
2362  P(14)(8) <= P14_8;
2363  P(14)(9) <= P14_9;
2364  P(14)(10) <= P14_10;
2365  P(14)(11) <= P14_11;
2366  P(14)(12) <= P14_12;
2367  P(14)(13) <= P14_13;
2368  P(14)(14) <= P14_14;
2369  P(14)(15) <= P14_15;
2370  P(14)(16) <= P14_16;
2371  P(14)(17) <= P14_17;
2372  P(14)(18) <= P14_18;
2373  P(14)(19) <= P14_19;
2374  P(14)(20) <= P14_20;
2375  P(14)(21) <= P14_21;
2376  P(14)(22) <= P14_22;
2377  P(14)(23) <= P14_23;
2378  P(14)(24) <= P14_24;
2379  P(15)(0) <= P15_0;
2380  P(15)(1) <= P15_1;
2381  P(15)(2) <= P15_2;
2382  P(15)(3) <= P15_3;
2383  P(15)(4) <= P15_4;
2384  P(15)(5) <= P15_5;
2385  P(15)(6) <= P15_6;
2386  P(15)(7) <= P15_7;
2387  P(15)(8) <= P15_8;
2388  P(15)(9) <= P15_9;
2389  P(15)(10) <= P15_10;
2390  P(15)(11) <= P15_11;
2391  P(15)(12) <= P15_12;
2392  P(15)(13) <= P15_13;
2393  P(15)(14) <= P15_14;
2394  P(15)(15) <= P15_15;
2395  P(15)(16) <= P15_16;
2396  P(15)(17) <= P15_17;
2397  P(15)(18) <= P15_18;
2398  P(15)(19) <= P15_19;
2399  P(15)(20) <= P15_20;
2400  P(15)(21) <= P15_21;
2401  P(15)(22) <= P15_22;
2402  P(15)(23) <= P15_23;
2403  P(15)(24) <= P15_24;
2404 
2405 
2406 
2407  MP1_F01_QUAD_110_TRN_0_DIR <= TXP_OUT(0) ;
2408  MP1_F01_QUAD_110_TRN_0_CMP <= TXN_OUT(0) ;
2409  MP1_F03_QUAD_110_TRN_1_DIR <= TXP_OUT(1) ;
2410  MP1_F03_QUAD_110_TRN_1_CMP <= TXN_OUT(1) ;
2411  MP1_F07_QUAD_110_TRN_2_DIR <= TXP_OUT(2) ;
2412  MP1_F07_QUAD_110_TRN_2_CMP <= TXN_OUT(2) ;
2413  MP1_F05_QUAD_110_TRN_3_DIR <= TXP_OUT(3) ;
2414  MP1_F05_QUAD_110_TRN_3_CMP <= TXN_OUT(3) ;
2415  MP1_F09_QUAD_111_TRN_0_DIR <= TXP_OUT(4) ;
2416  MP1_F09_QUAD_111_TRN_0_CMP <= TXN_OUT(4) ;
2417  MP1_F11_QUAD_111_TRN_1_DIR <= TXP_OUT(5) ;
2418  MP1_F11_QUAD_111_TRN_1_CMP <= TXN_OUT(5) ;
2419  MP1_F10_QUAD_111_TRN_2_DIR <= TXP_OUT(6) ;
2420  MP1_F10_QUAD_111_TRN_2_CMP <= TXN_OUT(6) ;
2421  MP1_F08_QUAD_111_TRN_3_DIR <= TXP_OUT(7) ;
2422  MP1_F08_QUAD_111_TRN_3_CMP <= TXN_OUT(7) ;
2423  MP1_F04_QUAD_112_TRN_0_DIR <= TXP_OUT(8) ;
2424  MP1_F04_QUAD_112_TRN_0_CMP <= TXN_OUT(8) ;
2425  MP1_F06_QUAD_112_TRN_1_DIR <= TXP_OUT(9) ;
2426  MP1_F06_QUAD_112_TRN_1_CMP <= TXN_OUT(9) ;
2427  MP1_F02_QUAD_112_TRN_2_DIR <= TXP_OUT(10) ;
2428  MP1_F02_QUAD_112_TRN_2_CMP <= TXN_OUT(10) ;
2429  MP1_F00_QUAD_112_TRN_3_DIR <= TXP_OUT(11) ;
2430  MP1_F00_QUAD_112_TRN_3_CMP <= TXN_OUT(11) ;
2431  MP2_F01_QUAD_113_TRN_0_DIR <= TXP_OUT(12) ;
2432  MP2_F01_QUAD_113_TRN_0_CMP <= TXN_OUT(12) ;
2433  MP2_F03_QUAD_113_TRN_1_DIR <= TXP_OUT(13) ;
2434  MP2_F03_QUAD_113_TRN_1_CMP <= TXN_OUT(13) ;
2435  MP2_F07_QUAD_113_TRN_2_DIR <= TXP_OUT(14) ;
2436  MP2_F07_QUAD_113_TRN_2_CMP <= TXN_OUT(14) ;
2437  MP2_F05_QUAD_113_TRN_3_DIR <= TXP_OUT(15) ;
2438  MP2_F05_QUAD_113_TRN_3_CMP <= TXN_OUT(15) ;
2439  MP2_F09_QUAD_114_TRN_0_DIR <= TXP_OUT(16) ;
2440  MP2_F09_QUAD_114_TRN_0_CMP <= TXN_OUT(16) ;
2441  MP2_F11_QUAD_114_TRN_1_DIR <= TXP_OUT(17) ;
2442  MP2_F11_QUAD_114_TRN_1_CMP <= TXN_OUT(17) ;
2443  MP2_F10_QUAD_114_TRN_2_DIR <= TXP_OUT(18) ;
2444  MP2_F10_QUAD_114_TRN_2_CMP <= TXN_OUT(18) ;
2445  MP2_F08_QUAD_114_TRN_3_DIR <= TXP_OUT(19) ;
2446  MP2_F08_QUAD_114_TRN_3_CMP <= TXN_OUT(19) ;
2447  MP2_F04_QUAD_115_TRN_0_DIR <= TXP_OUT(20) ;
2448  MP2_F04_QUAD_115_TRN_0_CMP <= TXN_OUT(20) ;
2449  MP2_F06_QUAD_115_TRN_1_DIR <= TXP_OUT(21) ;
2450  MP2_F06_QUAD_115_TRN_1_CMP <= TXN_OUT(21) ;
2451  MP2_F02_QUAD_115_TRN_2_DIR <= TXP_OUT(22) ;
2452  MP2_F02_QUAD_115_TRN_2_CMP <= TXN_OUT(22) ;
2453  MP2_F00_QUAD_115_TRN_3_DIR <= TXP_OUT(23) ;
2454  MP2_F00_QUAD_115_TRN_3_CMP <= TXN_OUT(23) ;
2455 
2456  MGTREFCLK_PAD_P_IN(0) <= CLK_320MHz64_LHC_BF_QUAD_111_DIR;
2457  MGTREFCLK_PAD_N_IN(0) <= CLK_320MHz64_LHC_BF_QUAD_111_CMP;
2458  MGTREFCLK_PAD_P_IN(1) <= CLK_320MHz64_LHC_BF_QUAD_114_DIR;
2459  MGTREFCLK_PAD_N_IN(1) <= CLK_320MHz64_LHC_BF_QUAD_114_CMP;
2460 
2461 
2462 
2463  --debug pins bus assignment
2464  BF_DEBUG_0 <= BF_DEBUG(0);
2465  BF_DEBUG_1 <= BF_DEBUG(1);
2466  BF_DEBUG_2 <= BF_DEBUG(2);
2467  BF_DEBUG_3 <= BF_DEBUG(3);
2468  BF_DEBUG_4 <= BF_DEBUG(4);
2469  BF_DEBUG_5 <= BF_DEBUG(5);
2470  BF_DEBUG_6 <= BF_DEBUG(6);
2471  BF_DEBUG_7 <= BF_DEBUG(7);
2472  BF_DEBUG_8 <= BF_DEBUG(8);
2473  BF_DEBUG_9 <= BF_DEBUG(9);
2474 
2475  --BF_DEBUG(8) <= buf_clk40;
2476 
2477  ODDR_inst_buf_clk_40 : ODDR
2478  generic map(
2479  DDR_CLK_EDGE => "SAME_EDGE", -- "OPPOSITE_EDGE" or "SAME_EDGE"
2480  INIT => '0', -- Initial value for Q port ('1' or '0')
2481  SRTYPE => "SYNC") -- Reset Type ("ASYNC" or "SYNC")
2482  port map (
2483  Q => BF_DEBUG(8), -- 1-bit DDR output
2484  C => buf_clk40, -- 1-bit clock input
2485  CE => '1', -- 1-bit clock enable input
2486  D1 => '1', -- 1-bit data input (positive edge)
2487  D2 => '0', -- 1-bit data input (negative edge)
2488  R => (not pll_locked), -- 1-bit reset input
2489  S => '0' -- 1-bit set input
2490  );
2491 
2492  BF_DEBUG(9) <= l1a_synced;-- DATA96(5)(0);--start_playback;--BF_TO_TP_DAQ_SLINK_RETURN_DIR;--send_align(0);-- BUF_TTC_BNCH_CNT_RES; --bunch counter reset
2493 
2494  BF_DEBUG(7 downto 0)<=(others=>'0');
2495 
2496  vme_address(1) <= OCB_A01;
2497  vme_address(2) <= OCB_A02;
2498  vme_address(3) <= OCB_A03;
2499  vme_address(4) <= OCB_A04;
2500  vme_address(5) <= OCB_A05;
2501  vme_address(6) <= OCB_A06;
2502  vme_address(7) <= OCB_A07;
2503  vme_address(8) <= OCB_A08;
2504  vme_address(9) <= OCB_A09;
2505  vme_address(10) <= OCB_A10;
2506  vme_address(11) <= OCB_A11;
2507  vme_address(12) <= OCB_A12;
2508  vme_address(13) <= OCB_A13;
2509  vme_address(14) <= OCB_A14;
2510  vme_address(15) <= OCB_A15;
2511  vme_address(16) <= OCB_A16;
2512  vme_address(17) <= OCB_A17;
2513  vme_address(18) <= OCB_A18;
2514  vme_address(19) <= OCB_A19;
2515  vme_address(20) <= OCB_A20;
2516  vme_address(21) <= OCB_A21;
2517  vme_address(22) <= OCB_A22;
2518  vme_address(23) <= OCB_A23;
2519 
2520  ------------------------------------------------------------------------------
2521  -- VME interface component used in BSPT FPGA (Ian's vme_interface)
2522  ------------------------------------------------------------------------------
2523  CMX_BASE_VMEIF_BSPT : CMX_BASE_VME_BSPT
2524  port map (
2525  ----------------------------------------------------------------------------
2526  -- inputs
2527  ----------------------------------------------------------------------------
2528  clk40 => buf_clk40 ,
2529  geoadd_0 => OCB_GEO_ADRS_0 ,
2530  n_ds0_int => OCB_DS_B,
2531  n_write => OCB_WRITE_B ,
2532  -- vme_address
2534  ----------------------------------------------------------------------------
2535  -- outputs
2536  ----------------------------------------------------------------------------
2537  board_ds => ds, -- board_ds output from VME (Ian model)
2538  brdsel_n => ncs -- brdsel_n output from VME (Ian model)
2539  );
2540 
2541 
2542  vme_main_hub_inst: entity work.vme_main_hub
2543  port map (
2544  data_vme => OCB_D,
2548 
2549 
2550  vme_local_switch_inst: entity work.vme_local_switch
2551  port map (
2556 
2557 
2558  CMX_version_inst: entity work.CMX_version
2559  port map (
2560  clk40 => buf_clk40 ,
2561  ncs => ncs,
2562  rd_nwr => OCB_WRITE_B ,
2563  ds => ds,
2564  addr_vme => vme_address(16 downto 1),
2567 
2568 
2569  sys_monitor_inst: entity work.sys_monitor
2570  generic map (
2571  ADDR_REG_RO_SYSMON_DATA_BLOCK => ADDR_REG_RO_SYSMON_DATA_BLOCK)
2572  port map (
2573  clk => buf_clk40 ,
2598  ncs => ncs,
2599  rd_nwr => OCB_WRITE_B ,
2600  ds => ds,
2601  addr_vme => vme_address(16 downto 1),
2605 
2606  process(buf_clk40)
2607  begin
2608  if rising_edge(buf_clk40) then
2611  elsif read_detect_outreg_test='1' then
2613  end if;
2614  end if;
2615  end process;
2616 
2617  data_to_vme_test_r<=std_logic_vector(test_rw_counter);
2618 
2619 
2620  vme_outreg_notri_test: entity work.vme_outreg_notri
2621  generic map (
2622  ia_vme => ADDR_REG_RO_test ,
2623  width => 16)
2624  port map (
2625  clk => buf_clk40 ,
2626  ncs => ncs,
2627  rd_nwr => OCB_WRITE_B ,
2628  ds => ds,
2629  addr_vme => vme_address(16 downto 1),
2634 
2635  --vme_outreg_test: vme_outreg
2636  -- generic map (
2637  -- ia_vme => ADDR_REG_RO_test,
2638  -- width => 16)
2639  -- port map (
2640  -- clk => buf_clk40,
2641  -- addr_vme => vme_address(16 downto 1),
2642  -- ncs => ncs,
2643  -- rd_nwr => OCB_WRITE_B,
2644  -- ds => ds,
2645  -- data_to_vme => data_to_vme_test_r,
2646  -- read_detect => read_detect_outreg_test,
2647  -- data_vme => OCB_D);
2648 
2649 
2650  vme_inreg_notri_test: entity work.vme_inreg_notri
2651  generic map (
2652  ia_vme => ADDR_REG_RW_test ,
2653  width => 16)
2654  port map (
2655  clk => buf_clk40 ,
2656  ncs => ncs,
2657  rd_nwr => OCB_WRITE_B ,
2658  ds => ds,
2659  addr_vme => vme_address(16 downto 1),
2667 
2668  --vme_inreg_test: vme_inreg
2669  -- generic map (
2670  -- ia_vme => ADDR_REG_RW_test,
2671  -- width => 16)
2672  -- port map (
2673  -- clk => buf_clk40,
2674  -- ncs => ncs,
2675  -- rd_nwr => OCB_WRITE_B,
2676  -- ds => ds,
2677  -- data_from_vme => data_from_vme_test_rw,
2678  -- data_to_vme => data_to_vme_test_rw,
2679  -- addr_vme => vme_address(16 downto 1),
2680  -- read_detect => read_detect_inreg_test,
2681  -- write_detect => write_detect_inreg_test,
2682  -- data_vme => OCB_D);
2683  --
2685 
2686 
2687 
2688 
2689  chipscope_icon_u2_c3_inst: chipscope_icon_u2_c3
2690  port map (
2691  CONTROL0 => CONTROL0,
2692  CONTROL1 => CONTROL1,
2693  CONTROL2 => CONTROL2
2694  );
2695 
2696  --chipscope_ila_CMX_top_inputmodclk_inst: chipscope_ila_CMX_top_inputmodclk
2697  -- port map (
2698  -- CONTROL => CONTROL0,
2699  -- CLK => buf_clk40,
2700  -- DATA => DATA_chipscope_ila_CMX_top_inputmodclk,
2701  -- TRIG0 => TRIG0_chipscope_ila_CMX_top_inputmodclk);
2702  --
2703  --
2704  --TRIG0_chipscope_ila_CMX_top_inputmodclk(0)<=pll_locked;
2705  --TRIG0_chipscope_ila_CMX_top_inputmodclk(33)<=start_playback;
2706  --TRIG0_chipscope_ila_CMX_top_inputmodclk(34)<='0';
2707  --TRIG0_chipscope_ila_CMX_top_inputmodclk(35)<=data_to_RTM(0);
2708  --
2709  --
2710  --DATA_chipscope_ila_CMX_top_inputmodclk(0)<=pll_locked;
2711  --
2712  --gen_data_chipscope_ila: for ichannel in numactchan-1 downto 0 generate
2713  --
2714  -- TRIG0_chipscope_ila_CMX_top_inputmodclk(1+ichannel*2)<=PAR_ERROR(ichannel);
2715  -- TRIG0_chipscope_ila_CMX_top_inputmodclk(2+ichannel*2)<=counter_enable_inputmod_sig(ichannel);
2716  --
2717  -- DATA_chipscope_ila_CMX_top_inputmodclk(1+ichannel*98)<=PAR_ERROR(ichannel);
2718  -- DATA_chipscope_ila_CMX_top_inputmodclk(2+ichannel*98)<=counter_enable_inputmod_sig(ichannel);
2719  -- DATA_chipscope_ila_CMX_top_inputmodclk(95+3+ichannel*98 downto 3+ichannel*98)<=DATA96(ichannel);
2720  --
2721  --end generate gen_data_chipscope_ila;
2722  --
2723  --DATA_chipscope_ila_CMX_top_inputmodclk(1630 downto 1569)<=(others=>'0');
2724  --DATA_chipscope_ila_CMX_top_inputmodclk(1682 downto 1631)<=data_to_RTM;
2725  --DATA_chipscope_ila_CMX_top_inputmodclk(1734 downto 1683)<=(others=>'0');
2726  --DATA_chipscope_ila_CMX_top_inputmodclk(1735)<=start_playback;
2727  --DATA_chipscope_ila_CMX_top_inputmodclk(2375 downto 1736) <= (others=>'0');
2728 
2729 
2730  CMX_delay_generator_inst: CMX_delay_generator
2731  generic map (
2732  start_address => ADDR_REG_RW_IDELAY_BACKPLANE )
2733  port map (
2734  clk40 => buf_clk40 ,
2735  ncs => ncs,
2736  rd_nwr => OCB_WRITE_B ,
2737  ds => ds,
2738  addr_vme => vme_address(16 downto 1),
2744 
2745  --upload_delays<='0';
2746  --del_register<=(others=>(others=>(others=>'0')));
2747 
2748  BCID_counter_inst: BCID_counter
2749  port map (
2750  reset => bc_reset_synced ,
2751  clk_40 => buf_clk40,
2752  BCID_out => BCID_counter_sig ,
2753  ncs => ncs,
2754  rd_nwr => OCB_WRITE_B ,
2755  ds => ds,
2756  addr_vme => vme_address(16 downto 1),
2760 
2761 
2762 
2763 
2764  process(buf_clk40)
2765  begin
2766  if rising_edge(buf_clk40) then
2769  end if;
2770  end process;
2771 
2772  CMX_input_inst: CMX_input_module
2773  port map (
2774  P => P,
2775  buf_clk40 => buf_clk40,
2776  buf_clk40_m180o => buf_clk40_m180o,
2777  buf_clk200 => buf_clk200,
2778  pll_locked => pll_locked,
2779  ODATA => DATA96,
2780  ODATA_first_half => ODATA_first_half ,
2781  --ODATA_WORD0 => open,
2782  PAR_ERROR_total => par_err(0),
2783  counter_enable_out => counter_enable_inputmod_sig ,
2787  quiet => quiet,
2789  spy_write_inhibit => spy_write_inhibit ,
2790  ncs => ncs,
2791  rd_nwr => OCB_WRITE_B,
2792  ds => ds,
2793  addr_vme => vme_address(16 downto 1),
2797 
2798  par_err(1)<='0';
2799 
2800  vme_inreg_async_REG_RW_QUIET_FORCE : vme_inreg_notri_async
2801  generic map (
2802  ia_vme => ADDR_REG_RW_QUIET_FORCE ,
2803  width => 16)
2804  port map (
2805  ncs => ncs,
2806  rd_nwr => OCB_WRITE_B,
2807  ds => ds,
2808  addr_vme => vme_address(16 downto 1),
2812  data_from_vme => data_from_vme_REG_RW_QUIET_FORCE,
2813  data_to_vme => data_to_vme_REG_RW_QUIET_FORCE);
2814 
2815  data_to_vme_REG_RW_QUIET_FORCE<=data_from_vme_REG_RW_QUIET_FORCE;
2816  quiet<=data_from_vme_REG_RW_QUIET_FORCE(1);
2817  force<=data_from_vme_REG_RW_QUIET_FORCE(0);
2818 
2819 
2820  CMX_Memory_spy_inhibit_inst: entity work.CMX_Memory_spy_inhibit
2821  port map (
2822  spy_write_inhibit => spy_write_inhibit ,
2823  buf_clk40 => buf_clk40,
2824  ncs => ncs,
2825  rd_nwr => OCB_WRITE_B,
2826  ds => ds,
2827  addr_vme => vme_address(16 downto 1),
2831 
2832 
2833  gen_REG_RW_JET_THRESHOLD_BLOCK: for i_thr in 1599 downto 0 generate
2834 
2835  vme_inreg_notri_async_REG_RW_JET_THRESHOLD_BLOCK: entity work.vme_inreg_notri_async
2836  generic map (
2837  ia_vme => ADDR_REG_RW_JET_THRESHOLD_BLOCK+2*i_thr,
2838  width => 16)
2839  port map (
2840  ncs => ncs,
2841  rd_nwr => OCB_WRITE_B,
2842  ds => ds,
2843  addr_vme => vme_address(16 downto 1),
2847  data_from_vme => data_from_vme_REG_RW_JET_THRESHOLD_BLOCK(i_thr),
2848  data_to_vme => data_to_vme_REG_RW_JET_THRESHOLD_BLOCK(i_thr));
2849 
2850 
2851  --vme_inreg_async_REG_RW_JET_THRESHOLD_BLOCK: vme_inreg_async
2852  -- generic map (
2853  -- ia_vme => ADDR_REG_RW_JET_THRESHOLD_BLOCK+2*i_thr,
2854  -- width => 16)
2855  -- port map (
2856  -- ncs => ncs,
2857  -- rd_nwr => OCB_WRITE_B,
2858  -- ds => ds,
2859  -- addr_vme => vme_address(16 downto 1),
2860  -- data_vme => OCB_D,
2861  -- data_from_vme => data_from_vme_REG_RW_JET_THRESHOLD_BLOCK(i_thr),
2862  -- data_to_vme => data_to_vme_REG_RW_JET_THRESHOLD_BLOCK(i_thr));
2863  --
2864  data_to_vme_REG_RW_JET_THRESHOLD_BLOCK(i_thr)<=data_from_vme_REG_RW_JET_THRESHOLD_BLOCK(i_thr);
2865  end generate gen_REG_RW_JET_THRESHOLD_BLOCK;
2866 
2867  thresholds<=data_from_vme_REG_RW_JET_THRESHOLD_BLOCK;
2868 
2869 
2870  decoder_inst: entity work.decoder
2871  port map (
2872  clk40MHz => buf_clk40,
2873  clk40MHz_m90o => buf_clk40_m90o,
2874  clk40MHz_90o => buf_clk40_90o,
2875  clk40MHz_m180o => buf_clk40_m180o,
2876  pll_locked => pll_locked,
2877  datai => DATA96(14 downto 1),
2878  datai_first_half => ODATA_first_half(14 downto 1),
2879  Tobs_to_TOPO => Tobs_to_TOPO,
2880  overflow => overflow,
2881  BCID_in => BCID_counter_sig ,
2882  BCID_delayed => BCID_delayed_decoder ,
2883  counter_inhibit => counter_inhibit,
2884  counter_reset => counter_reset,
2885  ncs => ncs,
2886  rd_nwr => OCB_WRITE_B ,
2887  ds => ds,
2888  addr_vme => vme_address(16 downto 1),
2891 
2892 
2893 
2894  adder_top_inst: entity work.adder_top
2895  generic map (
2896  ADDR_REG_RW_PIPELINE_DELAY_LENGTH => ADDR_REG_RW_DELAY_INPUT_DATA_ADDER,
2897  gen_system => '0')
2898  port map (
2899  clk => buf_clk40 ,
2900  thresholds => thresholds(895 downto 0),
2901  datai => DATA96(14 downto 1),
2902  din_cbl => din_cbl,
2903  din_cbla_ro => '0',
2904  din_cblb_ro => '0',
2905  din_cblc_ro => '0',
2906  dout_lcl => dout_lcl,
2907  dout_lcl_ro => dout_lcl_ro,
2908  dout => open,
2909  dout_ro => open,
2910  dout_cbla_mux0 => dout_cbla_mux0,
2911  dout_cbla_mux1 => dout_cbla_mux1,
2912  -- vme
2913  ncs => ncs,
2914  rd_nwr => OCB_WRITE_B ,
2915  ds => ds,
2916  addr_vme => vme_address(16 downto 1),
2920  par_err => par_err,
2921  force => force,
2922  reset => counter_reset ,
2923  inhibit => counter_inhibit
2924  );
2925 
2926  --dummy remote data for readout
2927  din_cbl(149 downto 144)<=(others=>'1');
2928  din_cbl(143 downto 0) <=(others=>'0');
2929 
2930  data_to_RTM1(23 downto 0) <= dout_cbla_mux0(23 downto 0);
2931  data_to_RTM1(49 downto 26) <= dout_cbla_mux1(23 downto 0);
2932  data_to_RTM1(25)<=dout_cbla_mux0(32);
2933  data_to_RTM1(51)<=dout_cbla_mux1(32);
2934  data_to_RTM1(24)<='0';
2935  data_to_RTM1(50)<=dout_lcl_ro;
2936 
2937 
2938  data_to_RTM(numbits_in_RTM_connector*2 -1 downto 0)<=data_to_RTM1;
2939 
2940 
2941  ddr_data_out_RTM1<=ddr_data_out_RTM(0);
2942 
2943  gen_dummy_loc_vme_bus: for i_dummy in 1640 to 1759 generate
2944  data_vme_from_below_top(i_dummy)<=(others=>'0');
2945  bus_drive_from_below_top(i_dummy)<='0';
2946  end generate gen_dummy_loc_vme_bus;
2947 
2948  CMX_crate_cable_output_module_inst: entity work.CMX_crate_cable_output_module
2949  port map (
2950  data => data_to_RTM,
2951  ddr_data_out => ddr_data_out_RTM ,
2952  buf_clk40 => buf_clk40,
2953  buf_clk40_center => buf_clk40_90o,
2954  pll_locked => pll_locked,
2956  spy_write_inhibit => spy_write_inhibit ,
2957  ncs => ncs,
2958  rd_nwr => OCB_WRITE_B,
2959  ds => ds,
2960  addr_vme => vme_address(16 downto 1),
2964 
2965 
2966  --this address normally assigned to the rtm system cable input module
2967  data_vme_from_below_top(1636)<=(others=>'0');
2968  bus_drive_from_below_top(1636)<='0';
2969 
2970  --no CTP output for the 'crate' type CMX
2971  --CMX_CTP_output_module_inst: entity work.CMX_CTP_output_module
2972  -- port map (
2973  -- data => dout,
2974  -- sdr_data_out => sdr_data_CTP,
2975  -- buf_clk40 => buf_clk40,
2976  -- buf_clk40_center => buf_clk40_center,
2977  -- buf_clk200 => buf_clk200,
2978  -- pll_locked => pll_locked,
2979  -- start_playback => start_playback,
2980  -- spy_write_inhibit => spy_write_inhibit,
2981  -- ncs => ncs,
2982  -- rd_nwr => OCB_WRITE_B,
2983  -- ds => ds,
2984  -- addr_vme => vme_address(16 downto 1),
2985  -- data_vme => OCB_D);
2986 
2987 
2988 
2989 
2990  CMX_clock_manager_inst: CMX_clock_manager
2991  port map (
2994  buf_clk40 => buf_clk40,
2995  buf_clk40_90o => buf_clk40_90o,
2996  buf_clk40_m180o => buf_clk40_m180o,
2997  buf_clk40_m90o => buf_clk40_m90o,
2998  buf_clk320 => buf_clk320,
2999  buf_clk160 => buf_clk160,
3000  buf_clk200 => buf_clk200,
3001  pll_locked => pll_locked,
3004  buf_clk40_ds2 => buf_clk40_ds2,
3005  pll_locked_ds2 => pll_locked_ds2,
3006  ncs => ncs,
3007  rd_nwr => OCB_WRITE_B ,
3008  ds => ds,
3009  addr_vme => vme_address(16 downto 1),
3013 
3014 
3015  CMX_CP_Topo_Encoder_inst: entity work.CMX_CP_Topo_Encoder
3016  port map (
3017  Tobs_to_TOPO => Tobs_to_TOPO,
3018  overflow => overflow,
3019  send_align_out => send_align,
3020  Data_out => indata_Topo_TX );
3021 
3022 
3023  Topo_Data_TX_inst: entity work.Topo_Data_TX
3024  port map (
3025  MGTREFCLK_PAD_N_IN => MGTREFCLK_PAD_N_IN,
3026  MGTREFCLK_PAD_P_IN => MGTREFCLK_PAD_P_IN,
3027  GTXTXRESET_IN => GTXTXRESET_IN,
3028  GTXRXRESET_IN => GTXRXRESET_IN,
3029  GTX_TX_READY_OUT => GTX_TX_READY_OUT ,
3030  GTX_RX_READY_OUT => GTX_RX_READY_OUT ,
3031  RXN_IN => RXN_IN,
3032  RXP_IN => RXP_IN,
3033  TXN_OUT => TXN_OUT,
3034  TXP_OUT => TXP_OUT,
3035  clk40 => buf_clk40_m90o,
3036  clk320 => buf_clk320,
3037  pll_locked => pll_locked,
3038  send_align => send_align,
3039  BCID => BCID_delayed_decoder,
3040  indata => indata_Topo_TX,
3041  ext_trigger => '0',
3042  ncs => ncs,
3043  rd_nwr => OCB_WRITE_B,
3044  ds => ds,
3045  addr_vme => vme_address(16 downto 1),
3049 
3050 
3051 
3052 
3053  --Topo_Data_TX_inst: Topo_Data_TX
3054  -- port map (
3055  -- MGTREFCLK_PAD_N_IN => MGTREFCLK_PAD_N_IN,
3056  -- MGTREFCLK_PAD_P_IN => MGTREFCLK_PAD_P_IN,
3057  -- GTXTXRESET_IN => GTXTXRESET_IN,
3058  -- GTXRXRESET_IN => GTXRXRESET_IN,
3059  -- GTX_TX_READY_OUT => GTX_TX_READY_OUT,
3060  -- GTX_RX_READY_OUT => GTX_RX_READY_OUT,
3061  -- RXN_IN => RXN_IN,
3062  -- RXP_IN => RXP_IN,
3063  -- TXN_OUT => TXN_OUT,
3064  -- TXP_OUT => TXP_OUT,
3065  -- clk40 => buf_clk40,
3066  -- clk320 => buf_clk320,
3067  -- pll_locked => pll_locked,
3068  -- send_align => send_align,
3069  -- BCID => BCID_counter_sig,
3070  -- indata => indata_Topo_TX,
3071  -- ext_trigger => BF_TO_TP_DAQ_SLINK_RETURN_DIR,
3072  -- ncs => ncs,
3073  -- rd_nwr => OCB_WRITE_B,
3074  -- ds => ds,
3075  -- addr_vme => vme_address(16 downto 1),
3076  -- data_vme => OCB_D);
3077 
3078 
3079 
3080 -- --for the test make a fake data to send topo
3081 -- gen_indata_counter_fiber: for i_fiber in 0 to 23 generate
3082 -- process(buf_clk40)
3083 -- begin
3084 -- if rising_edge(buf_clk40) then
3085 -- if counter_fake_data_Topo_TX(i_fiber)(11 downto 0)=to_unsigned(0,12) then
3086 -- send_align(i_fiber)<='1';
3087 -- else
3088 -- send_align(i_fiber)<='0';
3089 -- end if;
3090 -- counter_fake_data_Topo_TX(i_fiber)<=counter_fake_data_Topo_TX(i_fiber)+1;
3091 -- end if;
3092 -- end process;
3093 --
3094 --
3095 -- PRNG_LFSR_BIG_inst: PRNG_LFSR_BIG
3096 -- port map (
3097 -- clk => buf_clk40,
3098 -- rst => (not pll_locked),
3099 -- DATA_PRN => DATA_PRN(i_fiber) );
3100 --
3101 -- --counter repeated twice for the msb words
3102 -- gen_data_counter_word: for i_word in 6 to 7 generate
3103 -- indata_Topo_TX(128*(i_fiber)+16*(i_word)+15 downto 128*(i_fiber)+16*(i_word))<=std_logic_vector(counter_fake_data_Topo_TX(i_fiber));
3104 -- end generate gen_data_counter_word;
3105 --
3106 -- --then the 8 msb of the counter
3107 -- indata_Topo_TX(128*(i_fiber)+95 downto 128*(i_fiber)+88) <= std_logic_vector(counter_fake_data_Topo_TX(i_fiber)(15 downto 8));
3108 --
3109 -- --then the mgt number
3110 -- indata_Topo_TX(128*(i_fiber)+87 downto 128*(i_fiber)+80) <= std_logic_vector(to_unsigned(i_fiber,8));
3111 --
3112 -- --then the pseudo random number
3113 -- indata_Topo_TX(128*(i_fiber)+79 downto 128*(i_fiber)+16) <= DATA_PRN(i_fiber);
3114 --
3115 --
3116 -- --last 12 bits must be 0, four msb bits of the last word have the counter again
3117 -- indata_Topo_TX(128*(i_fiber)+15 downto 128*(i_fiber)+12) <= std_logic_vector(counter_fake_data_Topo_TX(i_fiber)(15 downto 12));
3118 -- indata_Topo_TX(128*(i_fiber)+11 downto 128*(i_fiber))<=(others=>'0');
3119 --
3120 -- end generate gen_indata_counter_fiber;
3121 
3122 
3123  vme_inreg_REG_RW_TOPOTR_GTX_RESET: vme_inreg_notri_async
3124  generic map (
3125  ia_vme => ADDR_REG_RW_TOPOTR_GTX_RESET ,
3126  width => 16)
3127  port map (
3128  ncs => ncs,
3129  rd_nwr => OCB_WRITE_B,
3130  ds => ds,
3131  addr_vme => vme_address(16 downto 1),
3135  data_from_vme => data_from_vme_REG_RW_TOPOTR_GTX_RESET,
3136  data_to_vme => data_to_vme_REG_RW_TOPOTR_GTX_RESET
3137  );
3138 
3139  GTXTXRESET_IN<=data_from_vme_REG_RW_TOPOTR_GTX_RESET(1);
3140  GTXRXRESET_IN<=data_from_vme_REG_RW_TOPOTR_GTX_RESET(0);
3141 
3142  data_to_vme_REG_RW_TOPOTR_GTX_RESET<=data_from_vme_REG_RW_TOPOTR_GTX_RESET;
3143 
3144 
3145  vme_outreg_async_REG_RO_TOPOTR_GTX_STATUS : vme_outreg_notri_async
3146  generic map (
3147  ia_vme => ADDR_REG_RO_TOPOTR_GTX_STATUS ,
3148  width => 16)
3149  port map (
3150  ncs => ncs,
3151  rd_nwr => OCB_WRITE_B,
3152  ds => ds,
3153  addr_vme => vme_address(16 downto 1),
3156  data_to_vme => data_to_vme_REG_RO_TOPOTR_GTX_STATUS );
3157 
3158  data_to_vme_REG_RO_TOPOTR_GTX_STATUS(1)<=GTX_TX_READY_OUT;
3159  data_to_vme_REG_RO_TOPOTR_GTX_STATUS(0)<=GTX_RX_READY_OUT;
3160 
3161  data_to_vme_REG_RO_TOPOTR_GTX_STATUS(15 downto 2)<=(others=>'0');
3162 
3163  -- sfp
3164 
3165 
3166  SFP_Data_TXRX_TX_SFP_DAQ: SFP_Data_TXRX
3167  generic map(
3168  direction => '1',
3169  clock_source => '1')
3170  port map (
3171  MGTREFCLK => MGTREFCLK_Q118 ,
3172  gtx_reset => gtx_reset_SFP_DAQ ,
3173  local_pll_lock_out => local_pll_lock_out_SFP_DAQ ,
3174  GTX_TX_READY_OUT => GTX_TX_READY_OUT_TX_SFP_DAQ ,
3175  GTX_RX_READY_OUT => GTX_RX_READY_OUT_TX_SFP_DAQ ,
3176  PLLLKDET_diag => PLLLKDET_diag_TX_SFP_DAQ ,
3177  local_gtx_reset_diag => local_gtx_reset_diag_TX_SFP_DAQ ,
3178  local_mmcm_reset_diag => local_mmcm_reset_diag_TX_SFP_DAQ ,
3179  GTXTEST_diag => GTXTEST_diag_TX_SFP_DAQ ,
3180  RXN_IN => RXN_IN_TX_SFP_DAQ ,
3181  RXP_IN => RXP_IN_TX_SFP_DAQ ,
3182  TXN_OUT => TXN_OUT_TX_SFP_DAQ,
3183  TXP_OUT => TXP_OUT_TX_SFP_DAQ,
3184  clk40_out => clk40_out_TX_SFP_DAQ,
3185  clk120_out => clk120_out_TX_SFP_DAQ,
3186  clk40_in => clk40_in_TX_SFP_DAQ,
3187  clk120_in => clk120_in_TX_SFP_DAQ,
3188  indata => indata_TX_SFP_DAQ ,
3189  odata => odata_TX_SFP_DAQ ,
3190  TXPREEMPHASIS_IN => TXPREEMPHASIS_IN_TX_SFP_DAQ ,
3191  TXPOSTEMPHASIS_IN => TXPOSTEMPHASIS_IN_TX_SFP_DAQ ,
3192  TXDIFFCTRL_IN => TXDIFFCTRL_IN_TX_SFP_DAQ ,
3193  RXEQMIX_IN => RXEQMIX_IN_TX_SFP_DAQ,
3194  DFECLKDLYADJ => DFECLKDLYADJ_TX_SFP_DAQ ,
3195  DFECLKDLYADJMON => DFECLKDLYADJMON_TX_SFP_DAQ ,
3196  DFEDLYOVRD => DFEDLYOVRD_TX_SFP_DAQ,
3197  DFEEYEDACMON => DFEEYEDACMON_TX_SFP_DAQ ,
3198  DFESENSCAL => DFESENSCAL_TX_SFP_DAQ,
3199  DFETAP1 => DFETAP1_TX_SFP_DAQ,
3200  DFETAP1MONITOR => DFETAP1MONITOR_TX_SFP_DAQ ,
3201  DFETAP2 => DFETAP2_TX_SFP_DAQ,
3202  DFETAP2MONITOR => DFETAP2MONITOR_TX_SFP_DAQ ,
3203  DFETAP3 => DFETAP3_TX_SFP_DAQ,
3204  DFETAP3MONITOR => DFETAP3MONITOR_TX_SFP_DAQ ,
3205  DFETAP4 => DFETAP4_TX_SFP_DAQ,
3206  DFETAP4MONITOR => DFETAP4MONITOR_TX_SFP_DAQ ,
3207  DFETAPOVRD => DFETAPOVRD_TX_SFP_DAQ);
3208 
3209 
3210  SFP_Data_TXRX_TX_SFP_ROI: SFP_Data_TXRX
3211  generic map(
3212  direction => '1',
3213  clock_source => '0')
3214  port map (
3215  MGTREFCLK => MGTREFCLK_Q118 ,
3216  gtx_reset => gtx_reset_SFP_ROI ,
3217  local_pll_lock_out => local_pll_lock_out_SFP_ROI ,
3218  GTX_TX_READY_OUT => GTX_TX_READY_OUT_TX_SFP_ROI ,
3219  GTX_RX_READY_OUT => GTX_RX_READY_OUT_TX_SFP_ROI ,
3220  PLLLKDET_diag => PLLLKDET_diag_TX_SFP_ROI ,
3221  local_gtx_reset_diag => local_gtx_reset_diag_TX_SFP_ROI ,
3222  local_mmcm_reset_diag => local_mmcm_reset_diag_TX_SFP_ROI ,
3223  GTXTEST_diag => GTXTEST_diag_TX_SFP_ROI ,
3224  RXN_IN => RXN_IN_TX_SFP_ROI ,
3225  RXP_IN => RXP_IN_TX_SFP_ROI ,
3226  TXN_OUT => TXN_OUT_TX_SFP_ROI,
3227  TXP_OUT => TXP_OUT_TX_SFP_ROI,
3228  clk40_out => clk40_out_TX_SFP_ROI,
3229  clk120_out => clk120_out_TX_SFP_ROI,
3230  --other_sfp_pll_lock=> local_pll_lock_out_SFP_DAQ,
3231  clk40_in => clk40_in_TX_SFP_ROI,
3232  clk120_in => clk120_in_TX_SFP_ROI,
3233  indata => indata_TX_SFP_ROI ,
3234  odata => odata_TX_SFP_ROI ,
3235  TXPREEMPHASIS_IN => TXPREEMPHASIS_IN_TX_SFP_ROI ,
3236  TXPOSTEMPHASIS_IN => TXPOSTEMPHASIS_IN_TX_SFP_ROI ,
3237  TXDIFFCTRL_IN => TXDIFFCTRL_IN_TX_SFP_ROI ,
3238  RXEQMIX_IN => RXEQMIX_IN_TX_SFP_ROI,
3239  DFECLKDLYADJ => DFECLKDLYADJ_TX_SFP_ROI ,
3240  DFECLKDLYADJMON => DFECLKDLYADJMON_TX_SFP_ROI ,
3241  DFEDLYOVRD => DFEDLYOVRD_TX_SFP_ROI,
3242  DFEEYEDACMON => DFEEYEDACMON_TX_SFP_ROI ,
3243  DFESENSCAL => DFESENSCAL_TX_SFP_ROI,
3244  DFETAP1 => DFETAP1_TX_SFP_ROI,
3245  DFETAP1MONITOR => DFETAP1MONITOR_TX_SFP_ROI ,
3246  DFETAP2 => DFETAP2_TX_SFP_ROI,
3247  DFETAP2MONITOR => DFETAP2MONITOR_TX_SFP_ROI ,
3248  DFETAP3 => DFETAP3_TX_SFP_ROI,
3249  DFETAP3MONITOR => DFETAP3MONITOR_TX_SFP_ROI ,
3250  DFETAP4 => DFETAP4_TX_SFP_ROI,
3251  DFETAP4MONITOR => DFETAP4MONITOR_TX_SFP_ROI ,
3252  DFETAPOVRD => DFETAPOVRD_TX_SFP_ROI);
3253 
3254 -- glink interface
3255 
3256 
3257  glink: glink_interface
3258  port map (
3259  CLK_40MHz => clk40_in_TX_SFP_ROI, -- clk40MHz
3260  CLK_120MHz => clk120_in_TX_SFP_ROI , -- clk120MHz
3261  RST => reset_daq , --not pll_locked, --reset(0), -- reset
3262  DAQ_IN => daq_in, -- Input data (DAQ)
3263  ROI_IN => roi_in, -- Input data (ROI)
3264  DAQ_DAV => daq_dav, -- Control (DAQ)
3265  ROI_DAV => roi_dav, -- Control (ROI)
3266  DAQ_BYTE => daq_byte, -- Output Byte (DAQ)
3267  ROI_BYTE => roi_byte, -- Output Byte (ROI)
3268  DAQ_ENCODED_DIAG => daq_encoded_diag,
3269  daq_byte_out => daq_byte_out,
3270  byte_pos_out => byte_pos_out,
3271  word_sel_out => word_sel_out,
3272  readout_rst_out => readout_rst_out
3273 
3274 
3275 
3276  ); -- daq_encoded_DIAG
3277 
3278  MGT_118_clk0_ibufds_i : IBUFDS_GTXE1
3279  port map
3280  (
3281  O => MGTREFCLK_Q118,
3282  ODIV2 => open,
3283  CEB => '0',
3286  );
3287 
3288  BF_DAQ_DATA_OUT_DIR<=TXP_OUT_TX_SFP_DAQ;
3289  BF_DAQ_DATA_OUT_CMP<=TXN_OUT_TX_SFP_DAQ;
3290 
3291  BF_ROI_DATA_OUT_DIR<=TXP_OUT_TX_SFP_ROI;
3292  BF_ROI_DATA_OUT_CMP<=TXN_OUT_TX_SFP_ROI;
3293 
3294  clk40_in_TX_SFP_ROI<=clk40_out_TX_SFP_DAQ;
3295  clk120_in_TX_SFP_ROI<=clk120_out_TX_SFP_DAQ;
3296 
3297  indata_TX_SFP_DAQ<=daq_byte; -- from GLINK emulator
3298  indata_TX_SFP_ROI<=roi_byte; -- from GLINK emulator;
3299 
3300 -- Reset control
3301 
3302  --vio_data_i : diagn_module_vio
3303  -- port map(
3304  -- CONTROL => control1,
3305  -- ASYNC_OUT => reset);
3306 
3307  vme_inreg_async_REG_RW_DAQ_ROI_RESET : vme_inreg_notri_async
3308  generic map (
3309  ia_vme => ADDR_REG_RW_DAQ_ROI_RESET ,
3310  width => 16)
3311  port map (
3312  ncs => ncs,
3313  rd_nwr => OCB_WRITE_B,
3314  ds => ds,
3315  addr_vme => vme_address(16 downto 1),
3319  data_from_vme => data_from_vme_REG_RW_DAQ_ROI_RESET,
3320  data_to_vme => data_to_vme_REG_RW_DAQ_ROI_RESET);
3321 
3322  reset_daq<=data_from_vme_REG_RW_DAQ_ROI_RESET(0);
3323  data_to_vme_REG_RW_DAQ_ROI_RESET<=data_from_vme_REG_RW_DAQ_ROI_RESET;
3324 
3325  vme_inreg_async_REG_RW_DAQ_ROI_GTX_RESET : vme_inreg_notri_async
3326  generic map (
3327  ia_vme => ADDR_REG_RW_DAQ_ROI_GTX_RESET ,
3328  width => 16)
3329  port map (
3330  ncs => ncs,
3331  rd_nwr => OCB_WRITE_B,
3332  ds => ds,
3333  addr_vme => vme_address(16 downto 1),
3337  data_from_vme => data_from_vme_REG_RW_DAQ_ROI_GTX_RESET,
3338  data_to_vme => data_to_vme_REG_RW_DAQ_ROI_GTX_RESET);
3339 
3340  gtx_reset_SFP_DAQ<=data_from_vme_REG_RW_DAQ_ROI_GTX_RESET(0);
3341  gtx_reset_SFP_ROI<=data_from_vme_REG_RW_DAQ_ROI_GTX_RESET(1);
3342  data_to_vme_REG_RW_DAQ_ROI_GTX_RESET<=data_from_vme_REG_RW_DAQ_ROI_GTX_RESET;
3343 
3344 
3345  vme_outreg_async_REG_RO_DAQ_ROI_STATUS : vme_outreg_notri_async
3346  generic map (
3347  ia_vme => ADDR_REG_RO_DAQ_ROI_STATUS ,
3348  width => 16)
3349  port map (
3350  ncs => ncs,
3351  rd_nwr => OCB_WRITE_B,
3352  ds => ds,
3353  addr_vme => vme_address(16 downto 1),
3356  data_to_vme => data_to_vme_REG_RO_DAQ_ROI_STATUS );
3357 
3358  data_to_vme_REG_RO_DAQ_ROI_STATUS(0)<=local_pll_lock_out_SFP_DAQ;
3359  data_to_vme_REG_RO_DAQ_ROI_STATUS(1)<=GTX_TX_READY_OUT_TX_SFP_DAQ;
3360  data_to_vme_REG_RO_DAQ_ROI_STATUS(2)<=GTX_RX_READY_OUT_TX_SFP_DAQ;
3361  data_to_vme_REG_RO_DAQ_ROI_STATUS(3)<=PLLLKDET_diag_TX_SFP_DAQ;
3362  data_to_vme_REG_RO_DAQ_ROI_STATUS(4)<=local_pll_lock_out_SFP_ROI;
3363  data_to_vme_REG_RO_DAQ_ROI_STATUS(5)<=GTX_TX_READY_OUT_TX_SFP_ROI;
3364  data_to_vme_REG_RO_DAQ_ROI_STATUS(6)<=GTX_RX_READY_OUT_TX_SFP_ROI;
3365  data_to_vme_REG_RO_DAQ_ROI_STATUS(7)<=PLLLKDET_diag_TX_SFP_ROI;
3366  data_to_vme_REG_RO_DAQ_ROI_STATUS(8)<=readout_rst_out;
3367 
3368  data_to_vme_REG_RO_DAQ_ROI_STATUS(15 downto 9) <= (others=>'0');
3369 
3370 
3371 -- Chipscope analyzer
3372 
3373  ila_daq_glink : glink_chipscope_analyzer
3374  port map (
3375  CONTROL => control0,
3376  CLK => clk40_in_TX_SFP_ROI ,
3377  DATA => data_ila_daq ,
3378  TRIG0 => trig_ila_daq );
3379 
3380  ila_glink_encoder : glink_chipscope_analyzer_encoder
3381  port map (
3382  CONTROL => control1,
3383  CLK => clk120_in_TX_SFP_ROI ,
3384  DATA => data_ila_encoder ,
3385  TRIG0 => trig_ila_encoder );
3386 
3387  ila_gtx_start: entity work.glink_chipscope_analyzer_gtx_start
3388  port map (
3389  CONTROL => CONTROL2,
3390  CLK => MGTREFCLK_Q118 ,
3391  DATA => data_ila_gtx_start ,
3392  TRIG0 => trig_ila_gtx_start );
3393 
3394  data_ila_daq <= daq_in &
3395  daq_encoded_diag &
3396  pll_locked &
3397  local_pll_lock_out_SFP_DAQ &
3398  GTX_TX_READY_OUT_TX_SFP_DAQ &
3399  GTX_RX_READY_OUT_TX_SFP_DAQ &
3400  local_pll_lock_out_SFP_ROI &
3401  GTX_TX_READY_OUT_TX_SFP_ROI &
3402  GTX_RX_READY_OUT_TX_SFP_ROI &
3403  reset_daq &
3404  l1a_synced &
3405  daq_dav ;
3406 
3407 
3408  trig_ila_daq <= daq_encoded_diag &
3409  pll_locked &
3410  local_pll_lock_out_SFP_DAQ &
3411  GTX_TX_READY_OUT_TX_SFP_DAQ &
3412  GTX_RX_READY_OUT_TX_SFP_DAQ &
3413  local_pll_lock_out_SFP_ROI &
3414  GTX_TX_READY_OUT_TX_SFP_ROI &
3415  GTX_RX_READY_OUT_TX_SFP_ROI &
3416  reset_daq &
3417  l1a_synced &
3418  daq_dav ;
3419 
3420 
3421 
3422  trig_ila_encoder <= GTX_TX_READY_OUT_TX_SFP_DAQ &
3423  reset_daq &
3424  l1a_synced &
3425  daq_byte &
3426  pll_locked;
3427 
3428  data_ila_encoder <= byte_pos_out &
3429  word_sel_out &
3430  readout_rst_out &
3431  GTX_TX_READY_OUT_TX_SFP_DAQ &
3432  reset_daq &
3433  l1a_synced &
3434  daq_byte&
3435  pll_locked;
3436 
3437  trig_ila_gtx_start(0)<=pll_locked;
3438  trig_ila_gtx_start(1)<=gtx_reset_SFP_DAQ;
3439  trig_ila_gtx_start(2)<=gtx_reset_SFP_ROI;
3440 
3441 
3442 
3443  data_ila_gtx_start(0)<= pll_locked;
3444  data_ila_gtx_start(1)<= gtx_reset_SFP_DAQ;
3445  data_ila_gtx_start(2)<= gtx_reset_SFP_ROI;
3446  data_ila_gtx_start(3)<= GTX_TX_READY_OUT_TX_SFP_DAQ;
3447  data_ila_gtx_start(4)<= PLLLKDET_diag_TX_SFP_DAQ;
3448  data_ila_gtx_start(5)<= local_gtx_reset_diag_TX_SFP_DAQ;
3449  data_ila_gtx_start(6)<= local_mmcm_reset_diag_TX_SFP_DAQ;
3450  data_ila_gtx_start(7)<= GTXTEST_diag_TX_SFP_DAQ;
3451  data_ila_gtx_start(8)<= GTX_TX_READY_OUT_TX_SFP_ROI;
3452  data_ila_gtx_start(9)<= PLLLKDET_diag_TX_SFP_ROI;
3453  data_ila_gtx_start(10)<= local_gtx_reset_diag_TX_SFP_ROI;
3454  data_ila_gtx_start(11)<= local_mmcm_reset_diag_TX_SFP_ROI;
3455  data_ila_gtx_start(12)<= GTXTEST_diag_TX_SFP_ROI;
3456 
3457 
3458  process(buf_clk40)
3459  begin
3460  if rising_edge(buf_clk40) then
3461  l1a_synced<=BUF_TTC_L1_ACCEPT_r;
3462  BUF_TTC_L1_ACCEPT_r<=BUF_TTC_L1_ACCEPT;
3463 
3464  bc_reset_synced<=BUF_TTC_BNCH_CNT_RES_r;
3465  BUF_TTC_BNCH_CNT_RES_r<=BUF_TTC_BNCH_CNT_RES;
3466  end if;
3467  end process;
3468 
3469 
3470  daq_i: entity work.daq_glink
3471  port map (
3472  data_in => data_in_daq ,
3473  bc_counter => unsigned(BCID_delayed_daq),
3474  l1a => l1a_synced ,
3475  data_out => daq_in,
3476  dav => daq_dav ,
3477  clk4000 => clk40_out_TX_SFP_DAQ ,
3478  clk4008 => buf_clk40,
3479  reset => reset_daq ,--not pll_locked,
3480  RAM_global_offset => RAM_global_offset ,
3481  RAM_rel_offsets => RAM_rel_offsets,
3482  nslices => nslices
3483  );
3484 
3485  --in this flavor roi and daq have the same behavior
3486  roi_dav<=daq_dav;
3487  roi_in<=daq_in;
3488 
3489  --readout control registers
3490  vme_inreg_async_REG_RW_DAQ_SLICE: entity work.vme_inreg_notri_async
3491  generic map (
3492  ia_vme => ADDR_REG_RW_DAQ_SLICE,
3493  width => 16)
3494  port map (
3495  ncs => ncs,
3496  rd_nwr => OCB_WRITE_B ,
3497  ds => ds,
3498  addr_vme => vme_address(16 downto 1),
3502  data_from_vme => data_from_vme_REG_RW_DAQ_SLICE,
3503  data_to_vme => data_to_vme_REG_RW_DAQ_SLICE );
3504 
3505  nslices(1 downto 0) <= unsigned(data_to_vme_REG_RW_DAQ_SLICE(1 downto 0));
3506  nslices(7 downto 2) <= (others=>'0');
3507 
3508  data_to_vme_REG_RW_DAQ_SLICE<=data_from_vme_REG_RW_DAQ_SLICE;
3509 
3510 
3511  vme_inreg_async_REG_DAQ_RAM_OFFSET: entity work.vme_inreg_notri_async
3512  generic map (
3513  ia_vme => ADDR_REG_RW_DAQ_RAM_OFFSET ,
3514  width => 16)
3515  port map (
3516  ncs => ncs,
3517  rd_nwr => OCB_WRITE_B ,
3518  ds => ds,
3519  addr_vme => vme_address(16 downto 1),
3523  data_from_vme => data_from_vme_REG_RW_DAQ_RAM_OFFSET,
3524  data_to_vme => data_to_vme_REG_RW_DAQ_RAM_OFFSET);
3525 
3526  data_to_vme_REG_RW_DAQ_RAM_OFFSET<=data_from_vme_REG_RW_DAQ_RAM_OFFSET;
3527  RAM_global_offset <= unsigned(data_from_vme_REG_RW_DAQ_RAM_OFFSET(7 downto 0));
3528 
3529 
3530  rel_offset_gen: for i_row in 1 to 19 generate
3531  vme_inreg_async_REG_DAQ_RAM_OFFSET: entity work.vme_inreg_notri_async
3532  generic map (
3533  ia_vme => (ADDR_REG_RW_DAQ_RAM_RELATIVE_OFFSET+2*(i_row-1)),
3534  width => 16)
3535  port map (
3536  ncs => ncs,
3537  rd_nwr => OCB_WRITE_B ,
3538  ds => ds,
3540  addr_vme => vme_address(16 downto 1),
3541  data_vme_out => data_vme_from_below_top(1609+i_row),
3542  bus_drive => bus_drive_from_below_top (1609+i_row),
3543  data_from_vme => data_from_vme_REG_RW_DAQ_RAM_RELATIVE_OFFSET(i_row-1),
3544  data_to_vme => data_to_vme_REG_RW_DAQ_RAM_RELATIVE_OFFSET(i_row-1));
3545 
3546  data_to_vme_REG_RW_DAQ_RAM_RELATIVE_OFFSET(i_row-1)<=data_from_vme_REG_RW_DAQ_RAM_RELATIVE_OFFSET(i_row-1);
3547  RAM_rel_offsets(i_row-1)<=unsigned(data_from_vme_REG_RW_DAQ_RAM_RELATIVE_OFFSET(i_row-1)(7 downto 0));
3548  end generate rel_offset_gen;
3549 
3550 
3551  daq_collector_i: entity work.daq_collector
3552  port map (
3553  clk => buf_clk40 ,
3554  datai => DATA96(14 downto 1),
3555  din_cbl => din_cbl,
3556  din_cbla_ro => '0',
3557  din_cblb_ro => '0',
3558  din_cblc_ro => '0',
3559  din_lcl => dout_lcl,
3560  din_lcl_ro => dout_lcl_ro,
3561  dout => (others =>'0'),
3562  dout_ro => '0',
3563  data_in_daq => data_in_daq,
3564  BCID_in => BCID_counter_sig ,
3565  BCID_delayed => BCID_delayed_daq );
3566 
3567  CMX_rate_counter_inhibit_inst: entity work.CMX_rate_counter_inhibit
3568  port map (
3569  counter_inhibit => counter_inhibit,
3570  counter_reset => counter_reset,
3571  buf_clk40 => buf_clk40,
3572  ncs => ncs,
3573  rd_nwr => OCB_WRITE_B ,
3574  ds => ds,
3575  addr_vme => vme_address(16 downto 1),
3578  bus_drive => bus_drive_from_below_top (1637));
3579 
3580 end Behavioral;
3581 
in P6_5std_logic
out BF_DOUT_CTP_41std_logic
in P3_21std_logic
in P9_17std_logic
in BF_SYSMON_13_NSTD_LOGIC
in P1_7std_logic
out TXN_OUTstd_logic_vector ((num_GTX_per_group * num_GTX_groups) - 1 downto 0)
in BF_SYSMON_14_PSTD_LOGIC
in P12_4std_logic
in P3_6std_logic
in P11_20std_logic
out D_CBL_48_Bstd_logic
in P6_24std_logic
out BF_DOUT_CTP_01std_logic
in P13_17std_logic
in P10_16std_logic
in P14_21std_logic
in P11_18std_logic
out BF_TO_FROM_BSPT_2std_logic
out read_detectstd_logic
out BF_DAQ_DATA_OUT_CMPstd_logic
in OCB_A10std_logic
in CLK_120MHz000_XTAL_1_BF_TRNCV_DIRstd_logic
in P11_7std_logic
in P14_13std_logic
out D_CBL_74_Bstd_logic
in P1_21std_logic
in BF_SYSMON_09_PSTD_LOGIC
Definition: sys_monitor.vhd:38
in P7_20std_logic
out D_CBL_32_Bstd_logic
out data_vme_outstd_logic_vector (15 downto 0)
in BF_TO_TP_ROI_SLINK_RETURN_CMPstd_logic
out BF_TO_FROM_BSPT_3std_logic
out MP1_F11_QUAD_111_TRN_1_CMPstd_logic
in P5_10std_logic
in din_cbla_roT_SL
in P7_10std_logic
in P2_14std_logic
in P1_2std_logic
in P9_3std_logic
in doutT_SLV62
out ODATAarr_4Xword (numactchan - 1 downto 0)
in P1_10std_logic
out D_CBL_42_Bstd_logic
in P1_19std_logic
in P4_12std_logic
in P7_5std_logic
out write_detectstd_logic
in P12_6std_logic
std_logic read_detect_inreg_test
in rd_nwrstd_logic
out BF_LED_REQ_4std_logic
in P8_24std_logic
in OCB_A19std_logic
in clkstd_logic
in BF_TO_FROM_BSPT_0std_logic
out D_CBL_17_Bstd_logic
in P7_18std_logic
out read_detectstd_logic
in P6_15std_logic
out BF_DOUT_CTP_61std_logic
in P3_14std_logic
out PAR_ERROR_totalstd_logic
in P4_21std_logic
out data_in_daqarr_96 (19 downto 0)
in OCB_A21std_logic
in P1_11std_logic
out D_CBL_64_Bstd_logic
in P5_13std_logic
in P6_19std_logic
in addr_vmestd_logic_vector (15 downto 0)
out data_vme_outstd_logic_vector (15 downto 0)
Definition: sys_monitor.vhd:58
out BF_DOUT_CTP_34std_logic
in MGTREFCLK_PAD_N_INstd_logic_vector (num_GTX_groups - 1 downto 0)
in P15_5std_logic
in P5_6std_logic
in P9_10std_logic
out MP1_F04_QUAD_112_TRN_0_DIRstd_logic
inout data_vmestd_logic_vector (15 downto 0)
out BF_DOUT_CTP_32std_logic
in din_cblT_SLV65
out D_CBL_81_Bstd_logic
in P11_3std_logic
out data_vme_outstd_logic_vector (15 downto 0)
Definition: CMX_version.vhd:26
in P6_4std_logic
out Tobs_to_TOPOcopy_arr_TOB
Definition: jet_decoder.vhd:49
in P9_7std_logic
in dsstd_logic
in P9_12std_logic
in P13_18std_logic
in datai_first_halfarr_2Xword (max_jems - 1 downto 0)
Definition: jet_decoder.vhd:48
out D_CBL_67_Bstd_logic
in P7_9std_logic
out MP1_F07_QUAD_110_TRN_2_DIRstd_logic
in P0_6std_logic
in P6_1std_logic
in P10_5std_logic
in P1_4std_logic
in rd_nwrstd_logic
Definition: sys_monitor.vhd:54
out data_vmestd_logic_vector (15 downto 0)
in P13_20std_logic
in D_CBL_24_Bstd_logic
out D_CBL_28_Bstd_logic
in clk40MHz_90ostd_logic
Definition: jet_decoder.vhd:44
out BF_TO_FROM_BSPT_6std_logic
out data_vme_outstd_logic_vector (15 downto 0)
out local_gtx_reset_diagstd_logic
Definition: SFP_TXRX.vhd:39
in P8_19std_logic
out DFETAP2MONITORstd_logic_vector (4 downto 0)
Definition: SFP_TXRX.vhd:64
in P6_16std_logic
in counter_inhibitstd_logic
Definition: jet_decoder.vhd:61
out BF_LED_REQ_1std_logic
out MP2_F01_QUAD_113_TRN_0_CMPstd_logic
in dsstd_logic
in P11_0std_logic
in P5_21std_logic
in data_vme_instd_logic_vector (15 downto 0)
out BF_DEBUG_2std_logic
in P5_8std_logic
out BF_DOUT_CTP_21std_logic
in P7_21std_logic
out buf_clk160std_logic
out MP1_F10_QUAD_111_TRN_2_DIRstd_logic
out D_CBL_79_Bstd_logic
in P1_8std_logic
out read_detectstd_logic
out D_CBL_59_Bstd_logic
in P6_0std_logic
std_logic_vector (15 downto 0) data_to_vme_test_rw
out BF_DOUT_CTP_46std_logic
in P2_18std_logic
in P10_23std_logic
out D_CBL_38_Bstd_logic
in addr_vmestd_logic_vector (15 downto 0)
in DFETAP4std_logic_vector (3 downto 0)
Definition: SFP_TXRX.vhd:67
in BF_SYSMON_03_NSTD_LOGIC
Definition: sys_monitor.vhd:31
in P11_8std_logic
out BF_DOUT_CTP_04std_logic
in P2_15std_logic
in OCB_A09std_logic
out TXN_OUTstd_logic
Definition: SFP_TXRX.vhd:44
out counter_enable_outstd_logic_vector (numactchan - 1 downto 0)
in P8_9std_logic
in BF_SYSMON_10_PSTD_LOGIC
Definition: sys_monitor.vhd:40
out BF_DOUT_CTP_65std_logic
in P3_11std_logic
in P11_1std_logic
out BF_DOUT_CTP_55std_logic
in BF_SYSMON_13_NSTD_LOGIC
Definition: sys_monitor.vhd:47
in P11_23std_logic
in upload_delaysstd_logic
std_logic_vector (15 downto 0) data_vme_up_top
in P0_8std_logic
in P9_6std_logic
in P4_20std_logic
in P12_12std_logic
in BF_SYSMON_13_PSTD_LOGIC
out BF_DOUT_CTP_19std_logic
in BF_SYSMON_12_PSTD_LOGIC
Definition: sys_monitor.vhd:44
in P1_16std_logic
in TXDIFFCTRL_INstd_logic_vector (3 downto 0)
Definition: SFP_TXRX.vhd:54
in OCB_A14std_logic
in P3_23std_logic
in OCB_DS_Bstd_logic
in OCB_A11std_logic
in P6_21std_logic
out dout_cbla_mux0std_logic_vector (33 downto 0)
in buf_clk40_m180ostd_logic
out BF_DOUT_CTP_14std_logic
out BF_DOUT_CTP_47std_logic
in D_CBL_39_Bstd_logic
in P4_18std_logic
out dout_lclstd_logic_vector (59 downto 0)
in P9_2std_logic
in BF_SYSMON_01_NSTD_LOGIC
inout data_vmestd_logic_vector (15 downto 0)
the tri-stated port
in P4_14std_logic
out D_CBL_27_Bstd_logic
in P10_18std_logic
in data_vme_instd_logic_vector (15 downto 0)
in P9_21std_logic
in BF_SYSMON_10_NSTD_LOGIC
in P15_18std_logic
in OCB_A15std_logic
in P8_21std_logic
in P2_1std_logic
out D_CBL_06_Bstd_logic
in P14_17std_logic
_library_ workwork
out BF_LED_REQ_2std_logic
in P7_6std_logic
in P9_13std_logic
in BF_TO_TP_DAQ_SLINK_RETURN_DIRstd_logic
in P9_18std_logic
out D_CBL_76_Bstd_logic
in P10_11std_logic
ia_vmeinteger :=0
out MP2_F11_QUAD_114_TRN_1_DIRstd_logic
out D_CBL_01_Bstd_logic
in rd_nwrstd_logic
out data_from_vmestd_logic_vector (width - 1 downto 0)
in P14_9std_logic
widthinteger :=16
in P3_16std_logic
in P4_13std_logic
out BF_LED_REQ_0std_logic
in P2_6std_logic
in Pmat_var (numactchan - 1 downto 0)
in P13_6std_logic
out BF_DOUT_CTP_00std_logic
in P15_19std_logic
out BCID_outstd_logic_vector (11 downto 0)
in TXPREEMPHASIS_INstd_logic_vector (3 downto 0)
Definition: SFP_TXRX.vhd:52
out data_from_vmestd_logic_vector (width - 1 downto 0)
in CLK_320MHz64_LHC_BF_QUAD_114_DIRstd_logic
in BF_SYSMON_03_PSTD_LOGIC
Definition: sys_monitor.vhd:30
in P6_11std_logic
in P1_20std_logic
out Data_outstd_logic_vector (TX_indata_length - 1 downto 0)
in P15_15std_logic
in D_CBL_20_Bstd_logic
in P14_6std_logic
in P3_15std_logic
in P5_4std_logic
in P4_17std_logic
in P1_18std_logic
std_logic_vector (15 downto 0) data_to_vme_outreg_test
out MP2_F07_QUAD_113_TRN_2_DIRstd_logic
in RXP_INstd_logic_vector ((num_GTX_per_group * num_GTX_groups) - 1 downto 0)
out del_registerdel_register_type
in P5_2std_logic
out D_CBL_58_Bstd_logic
out BF_DOUT_CTP_49std_logic
in P14_10std_logic
in BF_SYSMON_09_NSTD_LOGIC
Definition: sys_monitor.vhd:39
in P7_7std_logic
in P12_23std_logic
in P10_15std_logic
in BF_SYSMON_13_PSTD_LOGIC
Definition: sys_monitor.vhd:46
out pll_lockedstd_logic
out BF_DEBUG_7std_logic
in data_vme_instd_logic_vector (15 downto 0)
out TXP_OUTstd_logic
Definition: SFP_TXRX.vhd:45
in P9_11std_logic
in P0_11std_logic
out buf_clk320std_logic
out BF_DOUT_CTP_64std_logic
in dsstd_logic
in P7_3std_logic
out MP1_F04_QUAD_112_TRN_0_CMPstd_logic
in P1_1std_logic
in P5_14std_logic
in P14_7std_logic
out DFECLKDLYADJMONstd_logic_vector (5 downto 0)
Definition: SFP_TXRX.vhd:57
in P2_19std_logic
out BCID_delayedstd_logic_vector (11 downto 0)
in P8_16std_logic
in BF_SYSMON_15_PSTD_LOGIC
in del_registerdel_register_type
out D_CBL_21_Bstd_logic
out data_vme_outstd_logic_vector (15 downto 0)
out D_CBL_04_Bstd_logic
in BF_SYSMON_03_NSTD_LOGIC
out data_from_vmestd_logic_vector (width - 1 downto 0)
in P12_24std_logic
out BF_ROI_DATA_OUT_DIRstd_logic
in P0_18std_logic
in P15_0std_logic
in P2_3std_logic
in P5_24std_logic
in P15_2std_logic
in P12_19std_logic
in P8_8std_logic
in rd_nwrstd_logic
Definition: jet_decoder.vhd:65
in P6_7std_logic
in P12_0std_logic
ia_vmeinteger :=0
in clk120_instd_logic
Definition: SFP_TXRX.vhd:49
in din_lcl_roT_SL
in P12_17std_logic
in BF_SYSMON_11_NSTD_LOGIC
Definition: sys_monitor.vhd:43
in P13_9std_logic
in data_vme_from_belowarr_16
--! inputs from local registers and from
out data_from_vmestd_logic_vector (width - 1 downto 0)
in din_cblT_SLV65
out D_CBL_80_Bstd_logic
in ncsstd_logic
in dsstd_logic
out GTXTEST_diagstd_logic
Definition: SFP_TXRX.vhd:41
in bus_drive_from_belowstd_logic
--! a request to drive the outside
in P14_12std_logic
in ncsstd_logic
Definition: CMX_version.vhd:22
in addr_vmestd_logic_vector (15 downto 0)
Definition: CMX_version.vhd:25
in P12_2std_logic
out D_CBL_29_Bstd_logic
out D_CBL_57_Bstd_logic
out MP1_F01_QUAD_110_TRN_0_DIRstd_logic
in BF_SYSMON_07_NSTD_LOGIC
Definition: sys_monitor.vhd:35
out BF_DOUT_CTP_05std_logic
in data_vme_instd_logic_vector (15 downto 0)
out BF_DEBUG_4std_logic
out D_CBL_14_Bstd_logic
in P10_6std_logic
out BF_DOUT_CTP_50std_logic
in P1_0std_logic
in P12_9std_logic
in BCID_instd_logic_vector (11 downto 0)
in P8_20std_logic
in P13_2std_logic
in P13_4std_logic
in P11_6std_logic
in BF_SYSMON_14_NSTD_LOGIC
in Tobs_to_TOPOcopy_arr_TOB
in BF_SYSMON_01_NSTD_LOGIC
Definition: sys_monitor.vhd:29
out MP2_F04_QUAD_115_TRN_0_CMPstd_logic
out data_vmestd_logic_vector (15 downto 0)
out dout_lcl_roT_SL
in P8_1std_logic
in CLK_40MHz08_DSKW_2_BF_LOGIC_CMPstd_logic
in BF_SYSMON_04_NSTD_LOGIC
in P0_15std_logic
in data_vme_instd_logic_vector (15 downto 0)
in ncsstd_logic
out buf_clk40_m180ostd_logic
out data_vme_outstd_logic_vector (15 downto 0)
in P12_11std_logic
in BF_SYSMON_12_PSTD_LOGIC
out MP2_F04_QUAD_115_TRN_0_DIRstd_logic
in addr_vmestd_logic_vector (15 downto 0)
in P3_22std_logic
in ncsstd_logic
Definition: sys_monitor.vhd:53
std_logic_vector (23 downto 1) vme_address
in P3_2std_logic
out BF_DOUT_CTP_57std_logic
in P14_1std_logic
out D_CBL_25_Bstd_logic
in P10_19std_logic
out BF_DOUT_CTP_42std_logic
in P3_13std_logic
in din_cblb_roT_SL
in P15_24std_logic
in P9_22std_logic
in OCB_A12std_logic
in P3_4std_logic
in P6_18std_logic
in addr_vmestd_logic_vector (15 downto 0)
Definition: sys_monitor.vhd:56
in P3_0std_logic
in data_vme_instd_logic_vector (15 downto 0)
in send_alignstd_logic_vector ((num_GTX_per_group * num_GTX_groups) - 1 downto 0)
in rd_nwrstd_logic
in P2_17std_logic
in P2_13std_logic
out doutT_SLV62
in OCB_A07std_logic
in P10_9std_logic
out BF_DOUT_CTP_51std_logic
in data_to_vmestd_logic_vector (width - 1 downto 0)
out D_CBL_33_Bstd_logic
out BF_DOUT_CTP_54std_logic
in OCB_A03std_logic
out MP1_F02_QUAD_112_TRN_2_DIRstd_logic
in BF_TO_FROM_BSPT_1std_logic
in OCB_A22std_logic
out BF_DOUT_CTP_45std_logic
in BF_SYSMON_07_PSTD_LOGIC
Definition: sys_monitor.vhd:34
in P4_22std_logic
out data_vme_outstd_logic_vector (15 downto 0)
out BCID_delayedstd_logic_vector (11 downto 0)
Definition: jet_decoder.vhd:57
out write_detectstd_logic
in P10_10std_logic
in P12_20std_logic
in P14_8std_logic
out MP2_F08_QUAD_114_TRN_3_DIRstd_logic
in P0_10std_logic
in P6_14std_logic
arr_16 (1762 downto 0) data_vme_from_below_top
in P5_16std_logic
in P3_8std_logic
in n_ds0_intstd_logic
in P13_19std_logic
out BF_DOUT_CTP_60std_logic
in P4_19std_logic
out data_vme_outstd_logic_vector (15 downto 0)
in P4_23std_logic
in gtx_resetstd_logic
Definition: SFP_TXRX.vhd:34
out BF_DOUT_CTP_07std_logic
out BF_TO_FROM_BSPT_5std_logic
out MP2_F01_QUAD_113_TRN_0_DIRstd_logic
in P11_2std_logic
in P2_0std_logic
out D_CBL_07_Bstd_logic
in P15_10std_logic
out local_mmcm_reset_diagstd_logic
Definition: SFP_TXRX.vhd:40
in data_to_vmestd_logic_vector (width - 1 downto 0)
out D_CBL_62_Bstd_logic
in quietstd_logic
in P12_3std_logic
in DFETAP3std_logic_vector (3 downto 0)
Definition: SFP_TXRX.vhd:65
in P13_24std_logic
in OCB_A16std_logic
in P7_2std_logic
in P1_5std_logic
in P4_24std_logic
out MP1_F07_QUAD_110_TRN_2_CMPstd_logic
in addr_vmestd_logic_vector (15 downto 0)
in P12_8std_logic
in P2_24std_logic
in BF_SYSMON_09_PSTD_LOGIC
in P4_9std_logic
out DFEEYEDACMONstd_logic_vector (4 downto 0)
Definition: SFP_TXRX.vhd:59
out data_vme_outstd_logic_vector (15 downto 0)
out BF_DOUT_CTP_17std_logic
out D_CBL_09_Bstd_logic
in P7_17std_logic
in BF_SYSMON_01_PSTD_LOGIC
in start_playbackstd_logic
out BF_DOUT_CTP_31std_logic
out BF_DOUT_CTP_38std_logic
out D_CBL_22_Bstd_logic
in P1_24std_logic
out BF_DOUT_CTP_37std_logic
in P10_14std_logic
in P1_23std_logic
out bus_drivestd_logic
out MP2_F08_QUAD_114_TRN_3_CMPstd_logic
in P11_10std_logic
out D_CBL_83_Bstd_logic
in P6_3std_logic
in addr_vmestd_logic_vector (15 downto 0)
out BF_DEBUG_8std_logic
in dsstd_logic
Definition: jet_decoder.vhd:66
out BF_DOUT_CTP_29std_logic
in DFEDLYOVRDstd_logic
Definition: SFP_TXRX.vhd:58
in dsstd_logic
Definition: sys_monitor.vhd:55
out BF_REQ_CABLE_3_INPUTstd_logic
out D_CBL_82_Bstd_logic
out BF_DOUT_CTP_35std_logic
out D_CBL_69_Bstd_logic
in P3_1std_logic
out BF_DOUT_CTP_26std_logic
in P14_4std_logic
out BF_DOUT_CTP_39std_logic
in P4_15std_logic
out GTX_RX_READY_OUTstd_logic
in P1_22std_logic
out BF_DOUT_CTP_23std_logic
in P15_23std_logic
in DFECLKDLYADJstd_logic_vector (5 downto 0)
Definition: SFP_TXRX.vhd:56
in P6_8std_logic
in P5_0std_logic
in P1_15std_logic
out MP2_F09_QUAD_114_TRN_0_DIRstd_logic
out BF_DOUT_CTP_16std_logic
in pll_lockedstd_logic
out data_vme_outstd_logic_vector (15 downto 0)
in P4_8std_logic
in P4_4std_logic
in P3_7std_logic
out local_pll_lock_outstd_logic
Definition: SFP_TXRX.vhd:35
in P5_11std_logic
in P10_12std_logic
in P5_18std_logic
out D_CBL_03_Bstd_logic
in P10_13std_logic
in P0_13std_logic
in P8_3std_logic
std_logic_vector (numactchan - 1 downto 0) counter_values
in din_cblc_roT_SL
out dout_cbla_mux1std_logic_vector (33 downto 0)
in BF_SYSMON_10_NSTD_LOGIC
Definition: sys_monitor.vhd:41
in RXN_INstd_logic
Definition: SFP_TXRX.vhd:42
in P0_19std_logic
out D_CBL_54_Bstd_logic
in P7_0std_logic
in clk40MHz_m90ostd_logic
Definition: jet_decoder.vhd:43
out D_CBL_30_Bstd_logic
in P3_10std_logic
in P12_7std_logic
out counter_valuesstd_logic_vector (numactchan - 1 downto 0)
in P7_15std_logic
in P3_24std_logic
in P13_22std_logic
out data_vme_going_belowstd_logic_vector (15 downto 0)
in P14_5std_logic
in TXPOSTEMPHASIS_INstd_logic_vector (4 downto 0)
Definition: SFP_TXRX.vhd:53
in vme_addressstd_logic_vector (23 downto 1)
out D_CBL_23_Bstd_logic
out D_CBL_73_Bstd_logic
out data_vme_outstd_logic_vector (15 downto 0)
std_logic_vector (15 downto 0) data_vme_going_below
in P0_17std_logic
in P15_20std_logic
_library_ IEEEIEEE
Definition: CMX_top_Base.vhd:8
in P4_10std_logic
in data_to_vmestd_logic_vector (width - 1 downto 0)
in P11_14std_logic
in P2_11std_logic
out MP1_F00_QUAD_112_TRN_3_CMPstd_logic
directionstd_logic
Definition: SFP_TXRX.vhd:24
in P9_4std_logic
in P5_7std_logic
out BF_DOUT_CTP_28std_logic
in data_to_vmestd_logic_vector (width - 1 downto 0)
widthinteger :=16
in P7_16std_logic
in GTXRXRESET_INstd_logic
in MGTREFCLK_PAD_P_INstd_logic_vector (num_GTX_groups - 1 downto 0)
in BF_SYSMON_04_NSTD_LOGIC
Definition: sys_monitor.vhd:33
in P11_19std_logic
in P0_1std_logic
in P15_12std_logic
out bus_drivestd_logic
Definition: CMX_version.vhd:27
in P2_23std_logic
in D_CBL_08_Bstd_logic
in OCB_A05std_logic
in P2_22std_logic
in BF_SYSMON_14_PSTD_LOGIC
Definition: sys_monitor.vhd:48
std_logic_vector (15 downto 0) data_from_vme_test_rw
in P2_21std_logic
in P8_15std_logic
out data_vme_upstd_logic_vector (15 downto 0)
--! connect this to
out send_align_outstd_logic_vector (num_GTX_groups * num_GTX_per_group - 1 downto 0)
in addr_vmestd_logic_vector (15 downto 0)
in P1_17std_logic
in P12_18std_logic
in P8_6std_logic
in addr_vmestd_logic_vector (15 downto 0)
out BF_DOUT_CTP_24std_logic
in BF_TO_TP_DAQ_SLINK_RETURN_CMPstd_logic
in P3_5std_logic
out GTX_TX_READY_OUTstd_logic
in P4_6std_logic
in BF_SYSMON_09_NSTD_LOGIC
in P14_14std_logic
out D_CBL_78_Bstd_logic
in P13_23std_logic
in OCB_A18std_logic
out BF_DOUT_CTP_53std_logic
out MP2_F02_QUAD_115_TRN_2_DIRstd_logic
in P15_16std_logic
in clkT_SL
in addr_vmestd_logic_vector (15 downto 0)
in P15_14std_logic
in P13_0std_logic
in P7_14std_logic
in clk_40std_logic
out BF_REQ_CABLE_1_INPUTstd_logic
in P11_16std_logic
std_logic read_detect_outreg_test
in OCB_A17std_logic
del_register_type del_register
in OCB_A23std_logic
in OCB_A01std_logic
in addr_vmestd_logic_vector (15 downto 0)
out MP2_F09_QUAD_114_TRN_0_CMPstd_logic
in P9_20std_logic
in P0_7std_logic
in data_vme_instd_logic_vector (15 downto 0)
Definition: sys_monitor.vhd:57
in datastd_logic_vector (numbits_in_RTM_connector * 2 * num_RTM_cables - 1 downto 0)
out D_CBL_15_Bstd_logic
in P0_22std_logic
out clk120_outstd_logic
Definition: SFP_TXRX.vhd:47
in P14_20std_logic
in CLK_120MHz000_XTAL_1_BF_TRNCV_CMPstd_logic
in P8_13std_logic
out BF_DOUT_CTP_18std_logic
out BF_DOUT_CTP_06std_logic
in rd_nwrstd_logic
out BF_DOUT_CTP_27std_logic
out BF_DOUT_CTP_43std_logic
out buf_clk200std_logic
out MP2_F03_QUAD_113_TRN_1_DIRstd_logic
out D_CBL_49_Bstd_logic
out data_vme_outstd_logic_vector (15 downto 0)
Definition: jet_decoder.vhd:68
in ext_triggerstd_logic
in BF_SYSMON_11_NSTD_LOGIC
in RXN_INstd_logic_vector ((num_GTX_per_group * num_GTX_groups) - 1 downto 0)
in P3_19std_logic
std_logic_vector (15 downto 0) data_to_vme_test_r
out MP2_F11_QUAD_114_TRN_1_CMPstd_logic
in P2_16std_logic
in dataiarr_4Xword (max_jems - 1 downto 0)
Definition: jet_decoder.vhd:47
in P9_14std_logic
out DFETAP3MONITORstd_logic_vector (3 downto 0)
Definition: SFP_TXRX.vhd:66
out D_CBL_11_Bstd_logic
in P2_7std_logic
in P12_10std_logic
in data_vme_instd_logic_vector (15 downto 0)
in P10_24std_logic
out TXP_OUTstd_logic_vector ((num_GTX_per_group * num_GTX_groups) - 1 downto 0)
in P0_0std_logic
in P9_1std_logic
out MP1_F00_QUAD_112_TRN_3_DIRstd_logic
in resetstd_logic
in P11_5std_logic
out MP1_F06_QUAD_112_TRN_1_CMPstd_logic
in P14_16std_logic
in BF_SYSMON_11_PSTD_LOGIC
in ncsstd_logic
Definition: jet_decoder.vhd:64
out GTX_RX_READY_OUTstd_logic
Definition: SFP_TXRX.vhd:37
in BF_SYSMON_01_PSTD_LOGIC
Definition: sys_monitor.vhd:28
out D_CBL_34_Bstd_logic
out BF_DOUT_CTP_58std_logic
in P8_2std_logic
out BF_DOUT_CTP_10std_logic
in data_to_vmestd_logic_vector (width - 1 downto 0)
in OCB_SYS_RESET_Bstd_logic
in P10_1std_logic
in P7_22std_logic
in BCID_instd_logic_vector (11 downto 0)
Definition: jet_decoder.vhd:56
in DFETAP1std_logic_vector (4 downto 0)
Definition: SFP_TXRX.vhd:61
in P15_4std_logic
out D_CBL_70_Bstd_logic
in P3_3std_logic
in ncsstd_logic
in addr_vmestd_logic_vector (15 downto 0)
out bus_drive_upstd_logic
or of all bus drive requests from below
out BF_DOUT_CTP_03std_logic
out D_CBL_65_Bstd_logic
out buf_clk40std_logic
in P14_22std_logic
in BUF_TTC_BNCH_CNT_RESstd_logic
out BF_DEBUG_9std_logic
in P12_22std_logic
out D_CBL_51_Bstd_logic
in P6_22std_logic
in P11_22std_logic
in P13_15std_logic
in P10_8std_logic
out D_CBL_72_Bstd_logic
out D_CBL_00_Bstd_logic
out BF_DEBUG_5std_logic
out MP2_F10_QUAD_114_TRN_2_CMPstd_logic
gen_systemstd_logic :='1'
in P11_21std_logic
in P12_16std_logic
out MP1_F10_QUAD_111_TRN_2_CMPstd_logic
in P9_16std_logic
in P0_21std_logic
in BF_SYSMON_07_PSTD_LOGIC
in addr_vmestd_logic_vector (15 downto 0)
out D_CBL_77_Bstd_logic
out D_CBL_41_Bstd_logic
in P1_6std_logic
in P13_8std_logic
out D_CBL_53_Bstd_logic
in P15_13std_logic
in data_vme_instd_logic_vector (15 downto 0)
out ddr_data_outarr_RTM (num_RTM_cables - 1 downto 0)
in P8_5std_logic
out BF_DEBUG_0std_logic
in BF_SYSMON_08_NSTD_LOGIC
Definition: sys_monitor.vhd:37
in P3_20std_logic
in P10_21std_logic
in P11_12std_logic
in par_errT_SLV2
out MP1_F09_QUAD_111_TRN_0_DIRstd_logic
in OCB_A08std_logic
out BF_REQ_CTP_1_INPUTstd_logic
out BF_LED_REQ_3std_logic
out data_vme_outstd_logic_vector (15 downto 0)
out BF_TO_FROM_BSPT_7std_logic
in P4_11std_logic
out BF_DOUT_CTP_25std_logic
out D_CBL_63_Bstd_logic
out ODATA_first_halfarr_2Xword (numactchan - 1 downto 0)
in CLK_320MHz64_LHC_BF_QUAD_114_CMPstd_logic
in BF_SYSMON_14_NSTD_LOGIC
Definition: sys_monitor.vhd:49
in data_vme_instd_logic_vector (15 downto 0)
in BF_SYSMON_07_NSTD_LOGIC
in P14_24std_logic
in dsstd_logic
in clk40_instd_logic
Definition: SFP_TXRX.vhd:48
in P14_18std_logic
in P7_23std_logic
in BF_SYSMON_08_PSTD_LOGIC
Definition: sys_monitor.vhd:36
in P5_12std_logic
in P13_11std_logic
out DFETAP4MONITORstd_logic_vector (3 downto 0)
Definition: SFP_TXRX.vhd:68
out MP1_F05_QUAD_110_TRN_3_DIRstd_logic
in P2_10std_logic
in P3_18std_logic
in P3_12std_logic
in P8_17std_logic
out BF_DOUT_CTP_20std_logic
in BF_SYSMON_15_NSTD_LOGIC
in P13_5std_logic
in P13_14std_logic
in data_vme_from_belowstd_logic_vector (15 downto 0)
--! input: ORed
in P11_11std_logic
out buf_clk40_m90ostd_logic
in OCB_A06std_logic
out D_CBL_05_Bstd_logic
in P1_9std_logic
in P9_9std_logic
in P15_6std_logic
in P0_16std_logic
in P11_4std_logic
out MP1_F06_QUAD_112_TRN_1_DIRstd_logic
in P14_3std_logic
out board_dsstd_logic
out BF_DOUT_CTP_30std_logic
in P13_13std_logic
in BF_SYSMON_11_PSTD_LOGIC
Definition: sys_monitor.vhd:42
out MP2_F05_QUAD_113_TRN_3_DIRstd_logic
in P4_1std_logic
in clkstd_logic
Definition: sys_monitor.vhd:27
in P0_5std_logic
in spy_write_inhibitstd_logic
in addr_vmestd_logic_vector (15 downto 0)
in P6_6std_logic
in P5_15std_logic
out MP1_F09_QUAD_111_TRN_0_CMPstd_logic
in P5_1std_logic
out MP1_F05_QUAD_110_TRN_3_CMPstd_logic
in BUF_TTC_L1_ACCEPTstd_logic
in P6_10std_logic
in addr_vmestd_logic_vector (15 downto 0)
out BF_DEBUG_3std_logic
in ncsstd_logic
in BF_SYSMON_08_NSTD_LOGIC
in din_cbla_roT_SL
in P2_4std_logic
in P12_14std_logic
in P8_7std_logic
in BF_SYSMON_10_PSTD_LOGIC
in P12_1std_logic
in P7_12std_logic
in RXEQMIX_INstd_logic_vector (2 downto 0)
Definition: SFP_TXRX.vhd:55
in P14_11std_logic
in P0_14std_logic
out D_CBL_37_Bstd_logic
in P8_10std_logic
in clk320std_logic
out BF_DAQ_DATA_OUT_DIRstd_logic
out BF_DOUT_CTP_22std_logic
in indatastd_logic_vector (7 downto 0)
Definition: SFP_TXRX.vhd:50
in P5_17std_logic
out BF_DOUT_CTP_08std_logic
in P7_19std_logic
out D_CBL_44_Bstd_logic
in clkstd_logic
in P15_8std_logic
in CLK_320MHz64_LHC_BF_QUAD_111_CMPstd_logic
in P14_2std_logic
ADDR_REG_RO_SYSMON_DATA_BLOCKinteger :=16#1364#
Definition: sys_monitor.vhd:22
in data_to_vmestd_logic_vector (width - 1 downto 0)
in P8_0std_logic
out BF_TO_FROM_BSPT_4std_logic
out BF_DEBUG_6std_logic
out data_vmestd_logic_vector (15 downto 0)
in P15_22std_logic
out BF_DOUT_CTP_09std_logic
in P8_14std_logic
out odatastd_logic_vector (7 downto 0)
Definition: SFP_TXRX.vhd:51
in addr_vmestd_logic_vector (15 downto 0)
Definition: jet_decoder.vhd:67
out MP1_F08_QUAD_111_TRN_3_CMPstd_logic
in P15_3std_logic
in P11_24std_logic
in P9_15std_logic
in P4_16std_logic
out GTX_TX_READY_OUTstd_logic
Definition: SFP_TXRX.vhd:36
in P15_21std_logic
out bus_drivestd_logic
in BF_SYSMON_15_PSTD_LOGIC
Definition: sys_monitor.vhd:50
in CLK_320MHz64_LHC_BF_QUAD_111_DIRstd_logic
in P12_21std_logic
in P7_13std_logic
in P13_21std_logic
in P0_12std_logic
in OCB_A13std_logic
in D_CBL_16_Bstd_logic
out MP1_F11_QUAD_111_TRN_1_DIRstd_logic
in P7_4std_logic
out MP1_F03_QUAD_110_TRN_1_CMPstd_logic
in P7_24std_logic
in OCB_A04std_logic
out BF_REQ_CABLE_2_INPUTstd_logic
out MP2_F03_QUAD_113_TRN_1_CMPstd_logic
in P8_23std_logic
in P9_8std_logic
out data_vme_outstd_logic_vector (15 downto 0)
out pll_locked_ds2std_logic
in OCB_WRITE_Bstd_logic
in P4_2std_logic
in OCB_GEO_ADRS_0std_logic
in P13_3std_logic
in rd_nwrstd_logic
Definition: CMX_version.vhd:23
in P5_9std_logic
in P10_4std_logic
in P2_9std_logic
in P0_20std_logic
out DFETAP1MONITORstd_logic_vector (4 downto 0)
Definition: SFP_TXRX.vhd:62
out MP2_F10_QUAD_114_TRN_2_DIRstd_logic
in P1_14std_logic
in DFETAP2std_logic_vector (4 downto 0)
Definition: SFP_TXRX.vhd:63
in P12_13std_logic
in indatastd_logic_vector (TX_indata_length - 1 downto 0)
out BF_DOUT_CTP_63std_logic
out D_CBL_75_Bstd_logic
in P6_20std_logic
in BF_SYSMON_03_PSTD_LOGIC
in P1_13std_logic
out bus_drivestd_logic
in P2_12std_logic
in P5_19std_logic
in P6_23std_logic
in P11_13std_logic
in BF_SYSMON_04_PSTD_LOGIC
Definition: sys_monitor.vhd:32
out dout_roT_SL
in BCIDstd_logic_vector (11 downto 0)
out BF_DOUT_CTP_40std_logic
in buf_clk40std_logic
in din_cblc_roT_SL
in dataiarr_4Xword (max_jems - 1 downto 0)
out PLLLKDET_diagstd_logic
Definition: SFP_TXRX.vhd:38
in P5_20std_logic
in P5_22std_logic
in BF_SYSMON_04_PSTD_LOGIC
out D_CBL_60_Bstd_logic
in din_cblb_roT_SL
out BF_ROI_DATA_OUT_CMPstd_logic
out BF_DOUT_CTP_15std_logic
in P6_9std_logic
in D_CBL_43_Bstd_logic
in P2_5std_logic
out BF_DOUT_CTP_62std_logic
in P10_2std_logic
in P14_19std_logic
out overflowstd_logic_vector (num_copies - 1 downto 0)
Definition: jet_decoder.vhd:51
out brdsel_nstd_logic
clock_sourcestd_logic
Definition: SFP_TXRX.vhd:27
out BF_DOUT_CTP_33std_logic
in P0_23std_logic
out D_CBL_26_Bstd_logic
out bus_drivestd_logic
in P12_5std_logic
in P8_18std_logic
in P0_24std_logic
out bus_drivestd_logic
in addr_vmestd_logic_vector (15 downto 0)
in BF_SYSMON_15_NSTD_LOGIC
Definition: sys_monitor.vhd:51
out MP2_F00_QUAD_115_TRN_3_DIRstd_logic
in clk40MHzstd_logic
Definition: jet_decoder.vhd:42
in addr_vmestd_logic_vector (15 downto 0)
in RXN_INstd_logic_vector ((num_GTX_per_group * num_GTX_groups) - 1 downto 0)
out D_CBL_47_Bstd_logic
in P8_11std_logic
out bus_drivestd_logic
out D_CBL_68_Bstd_logic
in ncsstd_logic
in P12_15std_logic
in RXP_INstd_logic_vector ((num_GTX_per_group * num_GTX_groups) - 1 downto 0)
unsigned (15 downto 0) test_rw_counter
in P7_11std_logic
in P8_12std_logic
out D_CBL_55_Bstd_logic
in P4_3std_logic
in P0_9std_logic
out DFESENSCALstd_logic_vector (2 downto 0)
Definition: SFP_TXRX.vhd:60
in P11_9std_logic
in P6_12std_logic
in P13_7std_logic
out D_CBL_36_Bstd_logic
out D_CBL_56_Bstd_logic
in CLK_40MHz08_DSKW_1_BF_LOGIC_CMPstd_logic
in P9_24std_logic
out buf_clk40_90ostd_logic
out BF_DOUT_CTP_48std_logic
in OCB_A02std_logic
in MGTREFCLKstd_logic
Definition: SFP_TXRX.vhd:33
in P4_0std_logic
out D_CBL_50_Bstd_logic
in dataiarr_4Xword (max_jems - 1 downto 0)
out D_CBL_40_Bstd_logic
out BF_DOUT_CTP_44std_logic
in BF_SYSMON_08_PSTD_LOGIC
in P9_23std_logic
in P13_12std_logic
out BF_DOUT_CTP_52std_logic
in P15_9std_logic
test registers
out D_CBL_12_Bstd_logic
in OCB_A20std_logic
in P0_4std_logic
in P6_13std_logic
std_logic_vector (1762 downto 0) bus_drive_from_below_top
in P10_3std_logic
in P1_3std_logic
in P0_3std_logic
out BF_REQ_CTP_2_INPUTstd_logic
in P14_15std_logic
in P9_5std_logic
out clk40_outstd_logic
Definition: SFP_TXRX.vhd:46
in P9_19std_logic
out D_CBL_46_Bstd_logic
in P7_8std_logic
out MP1_F02_QUAD_112_TRN_2_CMPstd_logic
in P14_0std_logic
in P2_2std_logic
in P10_0std_logic
out bus_drivestd_logic
Definition: sys_monitor.vhd:59
out MP2_F00_QUAD_115_TRN_3_CMPstd_logic
in P6_2std_logic
in pll_lockedstd_logic
Definition: jet_decoder.vhd:46
in P10_7std_logic
in BF_SYSMON_12_NSTD_LOGIC
Definition: sys_monitor.vhd:45
in P10_22std_logic
in thresholdsarr_16 (max_jems * 25 * 4 - 1 downto 0)
in P4_5std_logic
in P8_4std_logic
in P7_1std_logic
in clk40std_logic
out MP2_F05_QUAD_113_TRN_3_CMPstd_logic
out MP1_F08_QUAD_111_TRN_3_DIRstd_logic
std_logic write_detect_inreg_test
in pll_lockedstd_logic
in clk40MHz_m180ostd_logic
Definition: jet_decoder.vhd:45
in P15_1std_logic
in data_vme_instd_logic_vector (15 downto 0)
inout OCB_Dstd_logic_vector (15 downto 0)
in P13_10std_logic
in D_CBL_35_Bstd_logic
in DFETAPOVRDstd_logic
Definition: SFP_TXRX.vhd:69
in dsstd_logic
Definition: CMX_version.vhd:24
in P0_2std_logic
in P10_20std_logic
in P2_8std_logic
in P5_5std_logic
in P15_11std_logic
out BF_DOUT_CTP_02std_logic
out bus_drivestd_logic
Definition: jet_decoder.vhd:69
out MP1_F01_QUAD_110_TRN_0_CMPstd_logic
in P3_9std_logic
in D_CBL_31_Bstd_logic
inout data_vmestd_logic_vector (15 downto 0)
out read_detectstd_logic
in data_vme_instd_logic_vector (15 downto 0)
in data_to_vmestd_logic_vector (width - 1 downto 0)
out D_CBL_13_Bstd_logic
in CLK_40MHz08_DSKW_1_BF_LOGIC_DIRstd_logic
out BF_DOUT_CTP_13std_logic
in P13_1std_logic
out MP2_F02_QUAD_115_TRN_2_CMPstd_logic
in P15_7std_logic
out MP2_F06_QUAD_115_TRN_1_CMPstd_logic
out D_CBL_61_Bstd_logic
in clk40std_logic
Definition: CMX_version.vhd:21
out buf_clk40_ds2std_logic
in P6_17std_logic
in P5_3std_logic
out BF_DOUT_CTP_59std_logic
out D_CBL_71_Bstd_logic
in buf_clk200std_logic
in CLK_40MHz08_DSKW_2_BF_LOGIC_DIRstd_logic
in BF_TO_TP_ROI_SLINK_RETURN_DIRstd_logic
in rd_nwrstd_logic
out BF_DOUT_CTP_56std_logic
in P15_17std_logic
in GTXTXRESET_INstd_logic
out MP2_F07_QUAD_113_TRN_2_CMPstd_logic
in P4_7std_logic
in P2_20std_logic
out D_CBL_19_Bstd_logic
in addr_vmestd_logic_vector (15 downto 0)
in P14_23std_logic
out BF_DOUT_CTP_11std_logic
in P3_17std_logic
in counter_resetstd_logic
Definition: jet_decoder.vhd:62
out BF_DOUT_CTP_36std_logic
out MP1_F03_QUAD_110_TRN_1_DIRstd_logic
_library_ UNISIMUNISIM
out D_CBL_66_Bstd_logic
in bus_drive_from_belowstd_logic_vector
in RXP_INstd_logic
Definition: SFP_TXRX.vhd:43
in P11_15std_logic
in P5_23std_logic
in P13_16std_logic
in P9_0std_logic
in P1_12std_logic
in BF_SYSMON_12_NSTD_LOGIC
in rd_nwrstd_logic
ADDR_REG_RW_PIPELINE_DELAY_LENGTHinteger :=0
in P8_22std_logic
out BF_DEBUG_1std_logic
out D_CBL_02_Bstd_logic
out D_CBL_52_Bstd_logic
in P11_17std_logic
out D_CBL_18_Bstd_logic
out D_CBL_10_Bstd_logic
in overflowstd_logic_vector (num_copies - 1 downto 0)
in P10_17std_logic
in din_lclT_SLV60
out MP2_F06_QUAD_115_TRN_1_DIRstd_logic
out BF_DOUT_CTP_12std_logic
out D_CBL_45_Bstd_logic